H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10 use of semiconductor devices for measuring G01; resistors in general H01C; magnets, inductors or transformers H01F; capacitors in general H01G; electrolytic devices H01G9/00; batteries or accumulators H01M; waveguides, resonators or lines of the waveguide type H01P; line connectors or current collectors H01R; stimulated-emission devices H01S; electromechanical resonators H03H; loudspeakers, microphones, gramophone pick-ups or like acoustic electromechanical transducers H04R; electric light sources in general H05B; printed circuits, hybrid circuits, casings or constructional details of electrical apparatus, manufacture of assemblages of electrical components H05K; use of semiconductor devices in circuits having a particular application, see the subclass for the applicationThis subclass is residual to class H10.This subclass covers:semiconductor devices for rectifying, amplifying, oscillating or switching; their constructional details or arrangements; their assemblies or integrated devices; their manufacture or treatment;semiconductor devices sensitive to radiation; their constructional details or arrangements; their assemblies or integrated devices; their manufacture or treatment;semiconductor devices for light emission; their constructional details or arrangements; their assemblies or integrated devices; their manufacture or treatment;processes or apparatus for the manufacture or treatment of semiconductor or solid-state devices where the type of device is not listed under bullets a to c, above, or not essential;constructional details or arrangements of semiconductor or solid-state devices not covered by class H10 and not specific to types of devices listed under bullets a to c, above; packaging or assembling of semiconductor or solid-state devices covered by this subclass or by class H10.In this subclass, the following terms or expressions are used with the meaning indicated:"wafer" means a slice of semiconductor or crystalline substrate material, which can be modified by impurity diffusion (doping), ion implantation or epitaxy, and whose active surface can be processed into arrays of discrete components or integrated circuits;"solid state body" means the body of material within which, or at the surface of which, the physical effects characteristic of the device occur;"electrode" is a region in or on the body of the device (other than the solid state body itself), which exerts an electrical influence on the solid state body, irrespective of whether or not an external electrical connection is made thereto. An electrode may include several portions and the term includes metallic regions which exert influence on the solid state body through an insulating region (e.g. capacitive coupling) and inductive coupling arrangements to the body. The dielectric region in a capacitive arrangement is regarded as part of the electrode. In arrangements including several portions, only those portions which exert an influence on the solid state body by virtue of their shape, size, or disposition or the material of which they are formed are considered to be part of the electrode. The other portions are considered to be "arrangements for conducting electric current to or from the solid state body" or "interconnections between solid state components formed in or on a common substrate", i.e. leads;"device" means an electric circuit element; where an electric circuit element is one of a plurality of elements formed in or on a common substrate it is referred to as a "component";"complete device" is a device in its fully assembled state which may or may not require further treatment, e.g. electroforming, before it is ready for use but which does not require the addition of further structural units;"parts" includes all structural units which are included in a complete device;"container" is an enclosure forming part of the complete device and is essentially a solid construction in which the body of the device is placed, or which is formed around the body without forming an intimate layer thereon. An enclosure which consists of one or more layers formed on the body and in intimate contact therewith is referred to as an "encapsulation";"integrated circuit" is a device where all components, e.g. diodes or resistors, are built up on a common substrate and form the device including interconnections between the components;"assembly" of a device is the building up of the device from its constructional units; the term covers the provision of fillings in containers.In this subclass, both the process or apparatus for the manufacture or treatment of a device and the device itself are classified, whenever both of these are described sufficiently to be of interest.The following IPC groups are not in the CPC scheme. The subject matter for these IPC groups is classified in the following CPC groups: H01L21/301 covered by H01L21/30H01L21/328 covered by H01L29/66075H01L21/329 covered by H01L29/66083H01L21/33 covered by H01L29/66227H01L21/331 covered by H01L29/66234H01L21/332 covered by H01L29/66363H01L21/334 covered by H01L29/66075H01L21/335 covered by H01L29/66409H01L21/336 covered by H01L29/66477H01L21/337 covered by H01L29/66893H01L21/338 covered by H01L29/66848H01L21/339 covered by H01L29/66946H01L21/36-H01L21/368 covered by H01L21/02107 H01L21/58 covered by H01L24/80H01L21/66 covered by H01L22/00H01L21/98 covered by H01L25/50H01L29/38 covered by H01L29/04-H01L29/365H01L29/96 covered by H01L29/68-H01L29/945
In this subclass non-limiting references (in the sense of paragraph 39 of the Guide to the IPC) may still be displayed in the scheme.
H01L21/00 H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof H01L21/02002Preparing wafersThis group covers processes for manufacturing wafers prior to the fabrication of any device, i.e. between the sawing of ingots (covered by B28D) and the cleaning of substrates (covered by H01L21/02041 ). This group does not cover: simple use of grinding or polishing machines B24B thermal smoothening H01L21/324 H01L21/02005Preparing bulk and homogeneous wafers H01L21/02008Multistep processes H01L21/0201Specific process step H01L21/02013Grinding, lapping H01L21/02016Backside treatment H01L21/02019Chemical etching H01L21/02021Edge treatment, chamfering H01L21/02024Mirror polishing H01L21/02027Setting crystal orientation H01L21/0203Making porous regions on the surface H01L21/02032by reclaiming or re-processing H01L21/02035Shaping H01L21/02041Cleaning H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process H01L21/02046Dry cleaning only H01L21/02085 takes precedence H01L21/02049with gaseous HF H01L21/02052Wet cleaning only H01L21/02085 takes precedence H01L21/02054combining dry and wet cleaning steps H01L21/02085 takes precedence H01L21/02057Cleaning during device manufacture H01L21/0206during, before or after processing of insulating layers H01L21/02063the processing being the formation of vias or contact holes H01L21/02065the processing being a planarization of insulating layers H01L21/02068during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers H01L21/02071the processing being a delineation, e.g. RIE, of conductive layers H01L21/02074the processing being a planarization of conductive layers H01L21/02076Cleaning after the substrates have been singulated H01L21/02079Cleaning for reclaiming H01L21/02082product to be cleaned H01L21/02085Cleaning of diamond H01L21/02087Cleaning of wafer edges H01L21/0209Cleaning of wafer backside H01L21/02093Cleaning of porous materials H01L21/02096only mechanical cleaning H01L21/02098only involving lasers, e.g. laser ablation H01L21/02101only involving supercritical fluids H01L21/02104Forming layers deposition in general C23C; crystal growth in general C30BGroups H01L21/02104H01L21/02694 are incomplete pending reclassification of documents from groups H01L21/06, H01L21/16, and H01L21/20.
Groups H01L21/02104H01L21/02694, H01L21/06, H01L21/20,and H01L21/16 should be considered in order to perform a complete search.
H01L21/02107Forming insulating materials on a substrateGroups H01L21/02107H01L21/02326 are incomplete pending reclassification of documents from groups H01L21/312, H01L21/314, H01L21/316, and H01L21/318.
Groups H01L21/02107H01L21/02326, H01L21/312, H01L21/314, H01L21/316, and H01L21/318 should be considered in order to perform a complete search.
H01L21/02109characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates H01L21/02112characterised by the material of the layer Layers comprising sublayers, i.e. multi-layers, are additionally classified in H01L21/022; porous layers are additionally classified in H01L21/02203 H01L21/02115the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon H01L21/02118carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC polymers per se C08G, photoresist per se G03F H01L21/0212the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene H01L21/02123the material containing silicon H01L21/02126the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC H01L21/02129the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG Halogen, e.g. fluorine, containing BPSG, PSG, BSG, and the like, are additionally classified in H01L21/02131 H01L21/02131the material being halogen doped silicon oxides, e.g. FSG H01L21/02134the material comprising hydrogen silsesquioxane, e.g. HSQ H01L21/02137the material comprising alkyl silsesquioxane, e.g. MSQ H01L21/0214the material being a silicon oxynitride, e.g. SiON or SiON:H H01L21/02142the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides H01L21/02145the material containing aluminium, e.g. AlSiOx H01L21/02148the material containing hafnium, e.g. HfSiOx or HfSiON H01L21/0215the material containing tantalum, e.g. TaSiOx H01L21/02153the material containing titanium, e.g. TiSiOx H01L21/02156the material containing at least one rare earth element, e.g. silicate of lanthanides, scandium or yttrium H01L21/02159the material containing zirconium, e.g. ZrSiOx H01L21/02161the material containing more than one metal element H01L21/02164the material being a silicon oxide, e.g. SiO2 The formation of silicon oxide layers is classified in this group regardless of the precursor or of the process of formation; in case of explicit statements on doping, on rest-groups, or on material components see H01L21/02126 and subgroups; deposition of silicon oxide from organic precursors without further statements on film composition is classified here and in H01L21/02205 and subgroups H01L21/02167the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides H01L21/02126 and H01L21/0214 take precedence H01L21/0217the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz H01L21/02126 and H01L21/0214 take precedence H01L21/02172the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides materials containing silicon H01L21/02123; metal silicates H01L21/02142 H01L21/02175characterised by the metal H01L21/02197 takes precedence H01L21/02178the material containing aluminium, e.g. Al2O3 H01L21/02181the material containing hafnium, e.g. HfO2 H01L21/02183the material containing tantalum, e.g. Ta2O5 H01L21/02186the material containing titanium, e.g. TiO2 H01L21/02189the material containing zirconium, e.g. ZrO2 H01L21/02192the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium H01L21/02194the material containing more than one metal element H01L21/02197the material having a perovskite structure, e.g. BaTiO3 H01L21/022the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides adhesion layers or buffer layers H01L21/02304, H01L21/02362 H01L21/02203the layer being porous H01L21/02205the layer being characterised by the precursor material for deposition H01L21/02208the precursor containing a compound comprising Si H01L21/02211the compound being a silane, e.g. disilane, methylsilane or chlorosilane H01L21/02214the compound comprising silicon and oxygenThis group does not cover mixtures of a silane and oxygen H01L21/02216the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane H01L21/02219the compound comprising silicon and nitrogenThis group does not cover mixtures of silane and nitrogen H01L21/02222the compound being a silazane H01L21/02225characterised by the process for the formation of the insulating layer H01L21/02227formation by a process other than a deposition process Subject matter classified in the range of H01L21/0223 - H01L21/02249 is additionally classified in H01L21/02249, H01L21/02255 and H01L21/02252, depending on the type of reaction H01L21/0223formation by oxidation, e.g. oxidation of the substrate H01L21/02233of the semiconductor substrate or a semiconductor layer H01L21/02236group IV semiconductor H01L21/02238silicon in uncombined form, i.e. pure silicon H01L21/02241III-V semiconductor H01L21/02244of a metallic layer H01L21/02247formation by nitridation, e.g. nitridation of the substrate H01L21/02249formation by combined oxidation and nitridation performed simultaneously H01L21/02252formation by plasma treatment, e.g. plasma oxidation of the substrate after treatment of an insulating film by plasma H01L21/3105 and subgroups H01L21/02255formation by thermal treatment H01L21/02252 takes precedence; after treatment of an insulating film H01L21/3105 and subgroups H01L21/02258formation by anodic treatment, e.g. anodic oxidation H01L21/0226formation by a deposition process per se C23C H01L21/02263deposition from the gas or vapour phaseThis group and subgroups also cover deposition methods in which the gas or vapour is produced by physical means, e.g. ablation from targets or heating of source material H01L21/02266deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition H01L21/02269deposition by thermal evaporation H01L21/02293 takes precedenceSubject matter relating to molecular beam epitaxy is classified in this group H01L21/02271deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition H01L21/02266 takes precedence H01L21/02274in the presence of a plasma [PECVD] H01L21/02277the reactions being activated by other means than plasma or thermal, e.g. photo-CVD H01L21/0228deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD Subject matter relating to cyclic plasma CVD is additionally classified in H01L21/02274 H01L21/02282liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating H01L21/02285Langmuir-Blodgett techniques H01L21/02288printing, e.g. ink-jet printing per se B41J H01L21/0229liquid atomic layer deposition H01L21/02293formation of epitaxial layers by a deposition process epitaxial growth per se C30B Formation of non-epitaxial layers by MBE, ALE, etc. is not covered by this group; for MBE see H01L21/02269; for ALE see H01L21/0228 H01L21/02296characterised by the treatment performed before or after the formation of the layer H01L21/02227 and subgroups take precedence This group and subgroups only cover processes which are directly linked to the layer formation; routine anneals, i.e. thermal treatment without further features like a special atmosphere, presence of a plasma, thermally induced chemical reactions, change of phase (crystal structure) etc. are not classified here; for cleaning see H01L21/02041 and subgroups; for etching processes see H01L21/311 and subgroups; for planarization processes see H01L21/31051 and subgroups; for processes to repair etch damage see H01L21/3105 and subgroups H01L21/02299pre-treatment This group and subgroups cover treatments to improve adhesion or change the surface termination; for etching see H01L21/306 and subgroups and H01L21/311 and subgroups H01L21/02301in-situ cleaning Subject matter relating to the cleaning processes for semiconductor devices in general is covered by H01L21/02041 and subgroups H01L21/02304formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers H01L21/02307treatment by exposure to a liquid H01L21/0231treatment by exposure to electromagnetic radiation, e.g. UV light H01L21/02312treatment by exposure to a gas or vapour H01L21/02315treatment by exposure to a plasma H01L21/02318post-treatment This group only covers processes that are part of the layer formation; treatments which are performed after completion of the insulating layer are covered by H01L21/3105 and subgroups H01L21/02321introduction of substances into an already existing insulating layer H01L21/02227 and subgroups take precedence processes like the introduction of phosphorus into silicon oxide by diffusion, or doping of an already existing insulating layer are covered by this group and subgroups; for the method of introduction, see H01L21/02337, H01L21/02343, H01L21/02345 and subgroups H01L21/02323introduction of oxygen H01L21/02326into a nitride layer, e.g. changing SiN to SiON H01L21/02329introduction of nitrogen H01L21/02332into an oxide layer, e.g. changing SiO to SiON H01L21/02334in-situ cleaning after layer formation, e.g. removing process residues Subject matter relating to the cleaning processes for semiconductor devices in general is covered by H01L21/02041 and subgroups H01L21/02337treatment by exposure to a gas or vapour H01L21/0234treatment by exposure to a plasma H01L21/02343treatment by exposure to a liquid H01L21/02345treatment by exposure to radiation, e.g. visible light H01L21/02348treatment by exposure to UV light H01L21/02351treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions H01L21/02354using a coherent radiation, e.g. a laser H01L21/02356treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer H01L21/02359treatment to change the surface groups of the insulating layer H01L21/02362formation of intermediate layers, e.g. capping layers or diffusion barriers
H01L21/02365Forming inorganic semiconducting materials on a substrate for light-sensitive devices H01L31/00Group H01L21/02365 is incomplete pending reclassification of documents from groups H01L21/06H01L21/16 and H01L21/20.
Groups H01L21/06, H01L21/16, and H01L21/20 should be considered in order to perform a complete search.
Groups H01L21/02365 - H01L21/02694 are incomplete pending reclassification of documents from groups H01L21/2018, H01L21/2022, H01L21/2026, H01L21/203, H01L21/2033, H01L21/2036, H01L21/205, H01L21/2053, H01L21/2056, H01L21/208 and H01L21/2085.
All groups listed in this Warning should be considered in order to perform a complete search.
H01L21/02367Substrates H01L21/0237Materials H01L21/02373Group 14 semiconducting materials H01L21/02376Carbon, e.g. diamond-like carbon H01L21/02378Silicon carbide H01L21/02381Silicon, silicon germanium, germanium H01L21/02384including tin H01L21/02387Group 13/15 materials H01L21/02389Nitrides H01L21/02392Phosphides H01L21/02395Arsenides H01L21/02398Antimonides H01L21/024Group 12/16 materials H01L21/02403Oxides H01L21/02406Sulfides H01L21/02409Selenides H01L21/02411Tellurides H01L21/02414Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds H01L21/02417Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds H01L21/0242Crystalline insulating materials H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers H01L21/02425Conductive materials, e.g. metallic silicides H01L21/02428Structure H01L21/0243Surface structure H01L21/02433Crystal orientation H01L21/02436Intermediate layers between substrates and deposited layers H01L21/02439Materials H01L21/02441Group 14 semiconducting materials H01L21/02444Carbon, e.g. diamond-like carbon H01L21/02447Silicon carbide H01L21/0245Silicon, silicon germanium, germanium H01L21/02452including tin H01L21/02455Group 13/15 materials H01L21/02458Nitrides H01L21/02461Phosphides H01L21/02463Arsenides H01L21/02466Antimonides H01L21/02469Group 12/16 materials H01L21/02472Oxides H01L21/02474Sulfides H01L21/02477Selenides H01L21/0248Tellurides H01L21/02483Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds H01L21/02485Other chalcogenide semiconducting materials not being oxides, e.g. ternary compounds H01L21/02488Insulating materials H01L21/02491Conductive materials H01L21/02494Structure H01L21/02496Layer structure H01L21/02499Monolayers H01L21/02502consisting of two layers H01L21/02505consisting of more than two layers H01L21/02507Alternating layers, e.g. superlattice H01L21/0251Graded layers H01L21/02513Microstructure H01L21/02516Crystal orientation H01L21/02518Deposited layers H01L21/02521Materials H01L21/02524Group 14 semiconducting materials H01L21/02527Carbon, e.g. diamond-like carbon H01L21/02529Silicon carbide H01L21/02532Silicon, silicon germanium, germanium H01L21/02535including tin H01L21/02538Group 13/15 materials H01L21/0254Nitrides H01L21/02543Phosphides H01L21/02546Arsenides H01L21/02549Antimonides H01L21/02551Group 12/16 materials H01L21/02554Oxides H01L21/02557Sulfides H01L21/0256Selenides H01L21/02562Tellurides H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds H01L21/0257Doping during depositing H01L21/02573Conductivity type H01L21/02576N-type H01L21/02579P-type H01L21/02581Transition metal or rare earth elements H01L21/02584Delta-doping H01L21/02587Structure H01L21/0259Microstructure H01L21/02592amorphous H01L21/02595polycrystalline H01L21/02598monocrystalline H01L21/02601Nanoparticles fullerenes H10K85/211 H01L21/02603Nanowires H01L21/02606Nanotubes carbon nanotubes H10K85/211 H01L21/02609Crystal orientation H01L21/02612Formation types H01L21/02614Transformation of metal, e.g. oxidation, nitridation H01L21/02617Deposition types H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD H01L21/02623Liquid deposition H01L21/02625using melted materials H01L21/02628using solutions H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation H01L21/02634Homoepitaxy H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials H01L21/02639Preparation of substrate for selective deposition H01L21/02642Mask materials other than SiO2 or SiN H01L21/02645Seed materials H01L21/02647Lateral overgrowth H01L21/0265Pendeoepitaxy H01L21/02653Vapour-liquid-solid growth H01L21/02656Special treatments H01L21/02658Pretreatments cleaning in general H01L21/02041 H01L21/02661In-situ cleaning H01L21/02664Aftertreatments planarisation in general H01L21/304 H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth H01L21/02669using crystallisation inhibiting elements H01L21/02672using crystallisation enhancing elements H01L21/02675using laser beams H01L21/02678Beam shaping, e.g. using a mask H01L21/0268Shape of mask H01L21/02683Continuous wave laser beam H01L21/02686Pulsed laser beam H01L21/02689using particle beams H01L21/02691Scanning of a beam H01L21/02694Controlling the interface between substrate and epitaxial layer, e.g. by ion implantation followed by annealing
H01L21/02697Forming conducting materials on a substrate
H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 photographic masks or originals per se G03F1/00; registration or positioning of photographic masks or originals G03F9/00; photographic cameras G03B; control of position G05D3/00 H01L21/0271comprising organic layers H01L21/0272for lift-off processes H01L21/0273characterised by the treatment of photoresist layers H01L21/0274Photolithographic processes H01L21/0275using lasers H01L21/0276using an anti-reflective coating anti-reflective coating for lithography in general G03F7/09 H01L21/0277Electrolithographic processes H01L21/0278Röntgenlithographic or X-ray lithographic processes H01L21/0279Ionlithographic processes H01L21/033comprising inorganic layers H01L21/0331for lift-off processes H01L21/0332characterised by their composition, e.g. multilayer masks, materials H01L21/0334characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane H01L21/0335characterised by their behaviour during the process, e.g. soluble masks, redeposited masks H01L21/0337characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment H01L21/0338Process specially adapted to improve the resolution of the mask H01L21/04the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer multistep manufacturing processes for semiconductor bodies of said devices H01L29/02; multistep manufacturing processes for electrodes of said devices H01L29/401; multistep manufacturing processes for said devices H01L29/66007 H01L21/0405the devices having semiconductor bodies comprising semiconducting carbon, e.g. diamond, diamond-like carbon multistep processes for the manufacture of said devices H01L29/66015This group covers passivation H01L21/041Making n- or p-doped regions H01L21/0415using ion implantation H01L21/042Changing their shape, e.g. forming recesses etching of the semiconductor body H01L21/302 H01L21/0425Making electrodes H01L21/043Ohmic electrodes H01L21/0435Schottky electrodes H01L21/044Conductor-insulator-semiconductor electrodes H01L21/0445the devices having semiconductor bodies comprising crystalline silicon carbide multistep processes for the manufacture of said devices H01L29/66053 H01L21/045passivating silicon carbide surfaces H01L21/0455Making n or p doped regions or layers, e.g. using diffusion H01L21/046using ion implantation Processes where ion implantation of boron and subsequent annealing does not produce a p-doped region are classified elsewhere, e.g. H01L21/0445 H01L21/0465using masks H01L21/047characterised by the angle between the ion beam and the crystal planes or the main crystal surface H01L21/0475Changing the shape of the semiconductor body, e.g. forming recesses, etching of the semiconductor body H01L21/302 H01L21/048Making electrodes H01L21/0485Ohmic electrodes H01L21/049Conductor-insulator-semiconductor electrodes, e.g. MIS contacts H01L21/0495Schottky electrodes H01L21/06the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials H01L21/08Preparation of the foundation plate H01L21/10Preliminary treatment of the selenium or tellurium, its application to the foundation plate, or the subsequent treatment of the combination H01L21/101Application of the selenium or tellurium to the foundation plate H01L21/103Conversion of the selenium or tellurium to the conductive state H01L21/105Treatment of the surface of the selenium or tellurium layer after having been made conductive H01L21/108Provision of discrete insulating layers, i.e. non-genetic barrier layers H01L21/12Application of an electrode to the exposed surface of the selenium or tellurium after the selenium or tellurium has been applied to the foundation plate H01L21/14Treatment of the complete device, e.g. by electroforming to form a barrier H01L21/145Ageing H01L21/16the devices having semiconductor bodies comprising cuprous oxide or cuprous iodide H01L21/161Preparation of the foundation plate, preliminary treatment oxidation of the foundation plate, reduction treatment H01L21/162Preliminary treatment of the foundation plate H01L21/164Oxidation and subsequent heat treatment of the foundation plate H01L21/165 takes precedence H01L21/165Reduction of the copper oxide, treatment of the oxide layer H01L21/167Application of a non-genetic conductive layer H01L21/168Treatment of the complete device, e.g. electroforming, ageing H01L21/18the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials H01L21/041 - H01L21/0425, H01L21/045 - H01L21/048 take precedenceThis group covers also processes and apparatus which, by using the appropriate technology, are clearly suitable for manufacture or treatment of devices whose bodies comprise elements of Group IV of the Periodic System or AIIIBV compounds, even if the material used is not explicitly specified. H01L21/182Intermixing or interdiffusion or disordering of III-V heterostructures, e.g. IILD H01L21/185Joining of semiconductor bodies for junction formation H01L21/187by direct bonding H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxyGroup H01L21/20 is impacted by reclassification into groups H01L21/02365H01L21/02694.
Groups H01L21/20 and H01L21/02365H01L21/02694 should be considered in order to perform a complete search.
H01L21/2003characterised by the substrate H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer H01L21/2011 takes precedence; bonding of semiconductor wafers to semiconductor wafers for junction formation H01L21/187 H01L21/2011the substrate being of crystalline insulating material, e.g. sapphire H01L21/2015the substrate being of crystalline semiconductor material, e.g. lattice adaptation, heteroepitaxy H01L21/2018Selective epilaxial growth, e.g. simultaneous deposition of mono - and non-mono semiconductor materialsGroup H01L21/2018 is no longer used for the classification of documents as of 2022-08-01.
The content of this group is being reclassified into groups H01L21/02365 - H01L21/02694.
Groups H01L21/2018 and H01L21/02365 - H01L21/02694 should be considered in order to perform a complete search.
H01L21/2022Epitaxial regrowth of non-monocrystalline semiconductor materials, e.g. lateral epitaxy by seeded solidification, solid-state crystallization, solid-state graphoepitaxy, explosive crystallization, grain growth in polycrystalline materialsGroup H01L21/2022 is no longer used for the classification of documents as of 2022-08-01.
The content of this group is being reclassified into groups H01L21/02365 - H01L21/02694.
Groups H01L21/2022 and H01L21/02365 - H01L21/02694 should be considered in order to perform a complete search.
H01L21/2026using a coherent energy beam, e.g. laser or electron beamGroup H01L21/2026 is no longer used for the classification of documents as of 2022-08-01.
The content of this group is being reclassified into groups H01L21/02365 - H01L21/02694.
Groups H01L21/2026 and H01L21/02365 - H01L21/02694 should be considered in order to perform a complete search.
H01L21/203using physical deposition, e.g. vacuum deposition, sputteringGroup H01L21/203 is no longer used for the classification of documents as of 2022-08-01.
The content of this group is being reclassified into groups H01L21/02365 - H01L21/02694.
Groups H01L21/203 and H01L21/02365 - H01L21/02694 should be considered in order to perform a complete search.
H01L21/2033Epitaxial deposition of elements of Group IV of the Periodic System, e.g. Si, GeGroup H01L21/2033 is no longer used for the classification of documents as of 2022-08-01.
The content of this group is being reclassified into groups H01L21/02365 - H01L21/02694.
Groups H01L21/2033 and H01L21/02365 - H01L21/02694 should be considered in order to perform a complete search.
H01L21/2036Epitaxial deposition of AIII BV compoundsGroup H01L21/2036 is no longer used for the classification of documents as of 2022-08-01.
The content of this group is being reclassified into groups H01L21/02365 - H01L21/02694.
Groups H01L21/2036 and H01L21/02365 - H01L21/02694 should be considered in order to perform a complete search.
H01L21/205using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical depositionGroup H01L21/205 is no longer used for the classification of documents as of 2022-08-01.
The content of this group is being reclassified into groups H01L21/02365 - H01L21/02694.
Groups H01L21/205 and H01L21/02365 - H01L21/02694 should be considered in order to perform a complete search.
H01L21/2053Expitaxial deposition of elements of Group IV of the Periodic System, e.g. Si, GeGroup H01L21/2053 is no longer used for the classification of documents as of 2022-08-01.
The content of this group is being reclassified into groups H01L21/02365 - H01L21/02694.
Groups H01L21/2053 and H01L21/02365 - H01L21/02694 should be considered in order to perform a complete search.
H01L21/2056Epitaxial deposition of AIIIBV compoundsGroup H01L21/2056 is no longer used for the classification of documents as of 2022-08-01.
The content of this group is being reclassified into groups H01L21/02365 - H01L21/02694.
Groups H01L21/2056 and H01L21/02365 - H01L21/02694 should be considered in order to perform a complete search.
H01L21/208using liquid depositionGroup H01L21/208 is no longer used for the classification of documents as of 2022-08-01.
The content of this group is being reclassified into groups H01L21/02365 - H01L21/02694.
Groups H01L21/208 and H01L21/02365 - H01L21/02694 should be considered in order to perform a complete search.
H01L21/2085Epitaxial deposition of AIIIBV compoundsGroup H01L21/2085 is no longer used for the classification of documents as of 2022-08-01.
The content of this group is being reclassified into groups H01L21/02365 - H01L21/02694.
Groups H01L21/2085 and H01L21/02365 - H01L21/02694 should be considered in order to perform a complete search.
H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities H01L21/2205from the substrate during epitaxy, e.g. autodoping; Preventing or using autodoping H01L21/221of killers H01L21/2215in AIIIBV compounds H01L21/222Lithium-drift H01L21/2225Diffusion sources H01L21/223using diffusion into or out of a solid from or into a gaseous phase H01L21/221 - H01L21/222 take precedence; diffusion through an applied layer H01L21/225 H01L21/2233Diffusion into or out of AIIIBV compounds H01L21/2236from or into a plasma phase H01L21/225using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer H01L21/221 - H01L21/222 take precedence H01L21/2251Diffusion into or out of group IV semiconductorsIn groups H01L21/2254 - H01L21/2257 one should consider the main compositional parts of the applied layer just before the diffusion step H01L21/2252using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase H01L21/2253by ion implantation H01L21/2254from or through or into an applied layer, e.g. photoresist, nitrides H01L21/2255the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides H01L21/2256through the applied layer H01L21/2257the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon H01L21/2258Diffusion into or out of AIIIBV compounds H01L21/228using diffusion into or out of a solid from or into a liquid phase, e.g. alloy diffusion processes H01L21/221 - H01L21/222 take precedence H01L21/24Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body H01L21/182 takes precedence H01L21/242Alloying of doping materials with AIIIBV compounds H01L21/244Alloying of electrode materials H01L21/246with AIIIBV compounds H01L21/248Apparatus specially adapted for the alloying H01L21/26Bombardment with radiation H01L21/3105 takes precedence H01L21/2605using natural radiation, e.g. alpha, beta or gamma radiation H01L21/261to produce a nuclear reaction transmuting chemical elements H01L21/263with high-energy radiation H01L21/261 takes precedence H01L21/2633for etching, e.g. sputteretching H01L21/2636for heating, e.g. electron beam heating H01L21/265producing ion implantation H01L21/26506in group IV semiconductors H01L21/26513of electrically active species H01L21/2652Through-implantation H01L21/26526Recoil-implantation H01L21/26533of electrically inactive species in silicon to make buried insulating layers H01L21/2654in AIIIBV compounds H01L21/26546of electrically active species H01L21/26553Through-implantation H01L21/2656characterised by the implantation of both electrically active and inactive species in the same semiconductor region to be doped H01L21/26566of a cluster, e.g. using a gas cluster ion beam H01L2021/26573in diamond H01L21/2658of a molecular ion, e.g. decaborane H01L21/26586characterised by the angle between the ion beam and the crystal planes or the main crystal surface H01L21/26593at a temperature lower than room temperature H01L21/266using masks H01L21/26586 takes precedence H01L21/268using electromagnetic radiation, e.g. laser radiation H01L21/2683using X-ray lasers H01L21/2686using incoherent radiation H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268 etching for patterning the electrodes H01L21/311, H01L21/3213; multistep manufacturing processes for data storage electrodes H01L29/4011 H01L21/28008Making conductor-insulator-semiconductor electrodes H01L21/28017the insulator being formed after the semiconductor body, the semiconductor being siliconThis group covers deposition of the insulators, including epitaxial insulators, and the conductors within the same process or chamber H01L21/28026characterised by the conductor H01L21/28176 takes precedence When the final conductor comprises a superconductor, subject matter is not classified according to the subgroups H01L21/28035 - H01L21/28097. Instead, it is classified in H01L21/28026 H01L21/28035the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities H01L21/28105 takes precedenceA very thin, e.g. silicon, adhesion or seed layer is not considered as the one next to the insulator H01L21/28044the conductor comprising at least another non-silicon conductive layer H01L21/28052the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer formed by metal ion implantation H01L21/28044 H01L21/28061the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction H01L21/28052 takes precedence To assess the coverage of groups H01L21/28052 and H01L21/28061, barrier layers, e.g. TaSiN, are not considered H01L21/2807the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si H01L21/28079the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al H01L21/28088the final conductor layer next to the insulator being a composite, e.g. TiN H01L21/28097the final conductor layer next to the insulator being a metallic silicide H01L21/28105the final conductor next to the insulator having a lateral composition or doping variation, or being formed laterally by more than one deposition step H01L21/28114characterised by the sectional shape, e.g. T, inverted-T Documents are also classified in groups H01L21/28035 - H01L21/28105 when the composition is also relevant H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects H01L21/28132conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating H01L21/28141insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating H01L21/2815part or whole of the electrode is a sidewall spacer or made by a similar technique, e.g. transformation under mask, plating H01L21/28158Making the insulator H01L21/28167on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation H01L21/28176with a treatment, e.g. annealing, after the formation of the definitive gate conductor H01L21/28185with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor H01L21/28194by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition H01L21/28202 takes precedence H01L21/28202in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN H01L21/28211in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer H01L21/28194 and H01L21/28202 take precedence thin oxidation layers used as a barrier layer or as a buffer layer, e.g. before the fomation of a high-k insulator, are classified here only if important per se H01L21/2822with substrate doping, e.g. N, Ge, C implantation, before formation of the insulator H01L21/28229by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer H01L21/28238with sacrificial oxide H01L21/28247passivation or protection of the electrode, e.g. using re-oxidation H01L21/28255the insulator being formed after the semiconductor body, the semiconductor belonging to Group IV and not being elemental silicon, e.g. Ge, SiGe, SiGeC H01L21/28264the insulator being formed after the semiconductor body, the semiconductor being a III-V compound H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current H01L21/285from a gas or vapour, e.g. condensation H01L21/28506of conductive layers H01L21/28512on semiconductor bodies comprising elements of Group IV of the Periodic System H01L21/28518the conductive layers comprising silicides H01L21/28537 takes precedence H01L21/28525the conductive layers comprising semiconducting material H01L21/28518, H01L21/28537 take precedence H01L21/28531Making of side-wall contacts H01L21/28537Deposition of Schottky electrodes H01L21/2855by physical means, e.g. sputtering, evaporation H01L21/28518 - H01L21/28537 and H01L21/28568 take precedence H01L21/28556by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD H01L21/28518 - H01L21/28537 and H01L21/28568 take precedence H01L21/28562Selective deposition H01L21/28568the conductive layers comprising transition metals H01L21/28518 takes precedence H01L21/28575on semiconductor bodies comprising AIIIBV compounds H01L21/28581Deposition of Schottky electrodes H01L21/28587characterised by the sectional shape, e.g. T, inverted T H01L21/28593asymmetrical sectional shape H01L21/288from a liquid, e.g. electrolytic deposition H01L21/2885using an external electrical current, i.e. electro-deposition H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 manufacture of electrodes thereon H01L21/28 H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma H01L21/3006of AIIIBV compounds H01L21/302to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting H01L21/30625 takes precedence H01L21/3043Making grooves, e.g. cutting H01L21/3046using blasting, e.g. sand-blasting H01L21/2633 takes precedence H01L21/306Chemical or electrical treatment, e.g. electrolytic etching to form insulating layers H01L21/31 H01L21/30604Chemical etching H01L21/30608Anisotropic liquid etching H01L21/3063 takes precedence H01L21/30612Etching of AIIIBV compounds H01L21/30617Anisotropic liquid etching H01L21/30621Vapour phase etching H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing H01L21/3063Electrolytic etching H01L21/30635of AIIIBV compounds H01L21/3065Plasma etchingReactive-ion etching H01L21/30655comprising alternated and repeated etching and passivation steps, e.g. Bosch process H01L21/308using masks H01L21/3063, H01L21/3065 take precedence H01L21/3081characterised by their composition, e.g. multilayer masks, materials H01L21/3083characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane H01L21/3085characterised by their behaviour during the process, e.g. soluble masks, redeposited masks H01L21/3086characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment H01L21/3088Process specially adapted to improve the resolution of the mask H01L21/31to form insulating layers thereon, e.g. for masking or by using photolithographic techniques encapsulating layers H01L21/56After treatment of these layersSelection of materials for these layers H01L21/3105After-treatment H01L21/31051Planarisation of the insulating layers H01L21/31058 takes precedence H01L21/31053involving a dielectric removal step H01L21/31055the removal being a chemical etching step, e.g. dry etching etching per se H01L21/311 H01L21/31056the removal being a selective chemical etching step, e.g. selective dry etching through a mask H01L21/31058of organic layers H01L21/311Etching the insulating layers by chemical or physical means H01L21/31058 takes precedence H01L21/31105Etching inorganic layers H01L21/31111by chemical means H01L21/31116by dry-etching H01L21/31122of layers not containing Si, e.g. PZT, Al2O3 H01L21/31127Etching organic layers H01L21/31133by chemical means H01L21/31138by dry-etching H01L21/31144using masks H01L21/3115Doping the insulating layers H01L21/31155by ion implantation H01L21/312Organic layers, e.g. photoresist H01L21/3105, H01L21/32 take precedence; photoresists per se G03CGroups H01L21/312H01L21/3128 are no longer used for the classification of documents as of 2011-05-01. The content of these groups is being reclassified into groups H01L21/02107H01L21/02326.
Groups H01L21/02107H01L21/02326 should be considered in order to perform a complete search.
H01L21/3121Layers comprising organo-silicon compounds H01L21/3122layers comprising polysiloxane compounds H01L21/3124layers comprising hydrogen silsesquioxane H01L21/3125layers comprising silazane compounds H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene H01L21/3128by Langmuir-Blodgett techniques
H01L21/314Inorganic layers H01L21/3105, H01L21/32 take precedenceGroups H01L21/314H01L21/3185 are no longer used for the classification of documents as of 2011-05-01. The content of these group is being reclassified into group H01L21/02107H01L21/02326.
Groups H01L21/02107H01L21/02326 should be considered in order to perform a complete search.
H01L21/3141Deposition using atomic layer deposition techniques [ALD] H01L21/3142of nano-laminates, e.g. alternating layers of Al203-Hf02 H01L21/3143composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers H01L21/3144on silicon H01L21/3145formed by deposition from a gas or vapour H01L21/3146Carbon layers, e.g. diamond-like layers H01L21/3147Epitaxial deposition of insulating materials H01L21/3148Silicon Carbide layers H01L2021/3149Langmuir-Blodgett techniques H01L21/316composed of oxides or glassy oxides or oxide based glassGroup H01L21/316 is no longer used for the classification of documents as of 2011-05-01. The content of this group is being reclassified into groups H01L21/02107H01L21/02326.
Groups H01L21/02107H01L21/02326 should be considered in order to perform a complete search.
H01L21/31604Deposition from a gas or vapour H01L21/31691, H01L21/31695 take precedence H01L21/31608Deposition of SiO2 H01L21/31625, H01L21/31629 and H01L21/31633 take precedence H01L21/31612on a silicon body H01L21/31616Deposition of Al2O3 H01L21/3162on a silicon body H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5 H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2 H01L21/31645Deposition of Hafnium oxides, e.g. HfO2 H01L21/3165formed by oxidation H01L21/31691, H01L21/31695 take precedence H01L21/31654of semiconductor materials, e.g. the body itself H01L21/31658by thermal oxidation, e.g. of SiGe H01L21/31662of silicon in uncombined form H01L21/31666of AIII BV compounds H01L21/3167of anodic oxidation H01L21/31675of silicon H01L21/31679of AIII BV compounds H01L21/31683of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures H01L21/31687by anodic oxidation H01L21/31691with perovskite structure H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
H01L21/318composed of nitridesGroup H01L21/318 is no longer used for the classification of documents as of 2011-05-01. The content of this group is being reclassified into groups H01L21/02107H01L21/02326.
Groups H01L21/02107H01L21/02326 should be considered in order to perform a complete search.
H01L21/3185of siliconnitrides
H01L21/32using masks H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layersAfter-treatment of these layers manufacture of electrodes H01L21/28 H01L21/32051Deposition of metallic or metal-silicide layers H01L21/32053of metal-silicide layers H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers H01L21/32056Deposition of conductive or semi-conductive organic layers H01L21/32058 takes precedence H01L21/32058Deposition of superconductive layers H01L21/321After treatment H01L21/32105Oxidation of silicon-containing layers H01L21/3211Nitridation of silicon-containing layers H01L21/32115Planarisation H01L21/3212by chemical mechanical polishing [CMP] H01L21/32125by simultaneously passing an electrical current, i.e. electrochemical mechanical polishing, e.g. ECMP H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer H01L21/32131by physical means only H01L21/32132of silicon-containing layers H01L21/32133by chemical means only H01L21/32134by liquid etching only H01L21/32135by vapour etching only H01L21/32136using plasmas H01L21/32137of silicon-containing layers H01L21/32138pre- or post-treatments, e.g. anti-corrosion processes H01L21/32139using masks H01L21/3215Doping the layers H01L21/32155Doping polycristalline - or amorphous silicon layers
H01L21/322to modify their internal properties, e.g. to produce internal imperfections H01L21/3221of silicon bodies, e.g. for gettering H01L21/3223using cavities formed by hydrogen or noble gas ion implantation H01L21/3225Thermally inducing defects using oxygen present in the silicon body for intrinsic gettering H01L21/3226 takes precedence Gettering using both extrinsic and intrinsic gettering techniques is classified in both H01L21/3221 and H01L21/3225 H01L21/3226of silicon on insulator H01L21/3228of AIIIBV compounds, e.g. to make them semi-insulating H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering H01L21/20 - H01L21/288 and H01L21/302 - H01L21/322 take precedence H01L21/3242for the formation of PN junctions without addition of impurities H01L21/22 takes precedence H01L21/3245of AIIIBV compounds H01L21/3247for altering the shape, e.g. smoothing the surfaceGroup H01L21/3247 is incomplete pending reclassification of documents from group H01L21/324.
Groups H01L21/324 and H01L21/3247 should be considered in order to perform a complete search.
H01L21/326Application of electric currents or fields, e.g. for electroforming H01L21/20 - H01L21/288 and H01L21/302 - H01L21/324 take precedence
H01L21/34the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445 , H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials H01L21/38Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions H01L21/383using diffusion into or out of a solid from or into a gaseous phase H01L21/385using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer H01L21/388using diffusion into or out of a solid from or into a liquid phase, e.g. alloy diffusion processes H01L21/40Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body H01L21/42Bombardment with radiation H01L21/423with high-energy radiation H01L21/425producing ion implantation H01L21/426using masks H01L21/428using electromagnetic radiation, e.g. laser radiation H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428 H01L21/441Deposition of conductive or insulating materials for electrodes H01L21/443from a gas or vapour, e.g. condensation H01L21/445from a liquid, e.g. electrolytic deposition H01L21/447involving the application of pressure, e.g. thermo-compression bonding H01L21/449involving the application of mechanical vibrations, e.g. ultrasonic vibrations H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 manufacture of electrodes thereon H01L21/44 H01L21/461to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting H01L21/463Mechanical treatment, e.g. grinding, ultrasonic treatment H01L21/465Chemical or electrical treatment, e.g. electrolytic etching to form insulating layers H01L21/469 H01L21/467using masks H01L21/469to form insulating layers thereon, e.g. for masking or by using photolithographic techniques encapsulating layers H01L21/56After-treatment of these layers H01L21/47Organic layers, e.g. photoresist H01L21/475, H01L21/4757 take precedence H01L21/471Inorganic layers H01L21/475, H01L21/4757 take precedence H01L21/473composed of oxides or glassy oxides or oxide based glass H01L21/475using masks H01L21/4757After-treatment H01L21/47573Etching the layer H01L21/47576Doping the layer H01L21/4763Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layersAfter-treatment of these layers manufacture of electrodes H01L21/28, H01L21/44 H01L21/47635After-treatment of these layers H01L21/477Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering H01L21/38 - H01L21/449 and H01L21/461 - H01L21/475 take precedence H01L21/479Application of electric currents or fields, e.g. for electroforming H01L21/38 - H01L21/449 and H01L21/461 - H01L21/475 take precedence H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326In this group, the expression "treatment" covers also the removal of leads from parts H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks H01L21/4846 takes precedence; printed circuit boards H05K1/00 H01L21/4807Ceramic parts H01L21/481Insulating layers on insulating parts, with or without metallisation H01L21/4814Conductive parts H01L21/4817for containers, e.g. caps H01L21/4871 takes precedence H01L21/4821Flat leads, e.g. lead frames with or without insulating supports H01L21/4825Connection or disconnection of other leads to or from flat leads, e.g. wires, bumps, other flat leads H01L21/4828Etching etching for cleaning without patterning H01L21/4835 H01L21/4832Etching a temporary substrate after encapsulation process to form leads H01L21/4835Cleaning, e.g. removing of solder H01L21/4839Assembly of a flat lead with an insulating support, e.g. for TAB H01L21/4842Mechanical treatment, e.g. punching, cutting, deforming, cold welding H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation H01L21/4821 takes precedence; metallisation of ceramics in general C04B41/51; printed circuits H05K3/00 H01L21/485Adaptation of interconnections, e.g. engineering charges, repair techniques H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps H01L21/4857Multilayer substrates multilayer metallisation on monolayer substrate H01L21/4846 H01L21/486Via connections through the substrate with or without pins H01L21/4864Cleaning, e.g. removing of solder H01L21/4867Applying pastes or inks, e.g. screen printing H01L21/486 takes precedence H01L21/4871Bases, plates or heatsinks H01L21/4875Connection or disconnection of other leads to or from bases or plates H01L21/4878Mechanical treatment, e.g. deforming H01L21/4882Assembly of heatsink parts H01L21/4885Wire-like parts or pins wire ball formation B23K20/00; methods related to connecting semiconductor or other solid state bodies H01L24/00 H01L21/4889Connection or disconnection of other leads to or from wire-like parts, e.g. wires H01L21/4892Cleaning H01L21/4896Mechanical treatment, e.g. cutting, bending H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container Arrangements for connecting or disconnecting semiconductor or other solid state bodies, or methods related thereto, other than those arrangements or methods covered by the following subgroups, are covered by H01L24/00 H01L21/52Mounting semiconductor bodies in containers H01L21/54Providing fillings in containers, e.g. gas fillings H01L21/56Encapsulations, e.g. encapsulation layers, coatings H01L21/561Batch processing H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate H01L21/565Moulds H01L21/566Release layers for moulds, e.g. release layers, layers against residue during moulding H01L21/568Temporary substrate used as encapsulation process aid H01L21/4832 and H01L21/566 take precedence H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation H01L2021/60007involving a soldering or an alloying process H01L2021/60015using plate connectors, e.g. layer, film H01L2021/60022using bump connectors, e.g. for flip chip mounting H01L2021/6003Apparatus therefor H01L2021/60037Right-up bonding H01L2021/60045Pre-treatment step of the bump connectors prior to bonding H01L2021/60052Oxide removing step, e.g. flux, rosin H01L2021/6006with temporary supporting member not part of an apparatus, e.g. removable coating, film or substrate H01L2021/60067Aligning the bump connectors with the mounting substrate H01L2021/60075involving active alignment, i.e. by apparatus steering, e.g. using alignment marks, sensors H01L2021/60082involving passive alignment, e.g. using surface energy, chemical reactions, thermal equilibrium H01L2021/6009involving guiding structures, e.g. structures that are left at least partly in the bonded product, spacers H01L2021/60097Applying energy, e.g. for the soldering or alloying process H01L2021/60105using electromagnetic radiation H01L2021/60112Coherent radiation, i.e. laser beam H01L2021/6012Incoherent radiation, e.g. polychromatic heating lamp H01L2021/60127Induction heating, i.e. eddy currents H01L2021/60135using convection, e.g. reflow oven H01L2021/60142with a graded temperature profile H01L2021/6015using conduction, e.g. chuck heater, thermocompression H01L2021/60157with a graded temperature profile H01L2021/60165using an electron beam H01L2021/60172using static pressure H01L2021/6018Unidirectional static pressure H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid H01L2021/60195using dynamic pressure, e.g. ultrasonic or thermosonic bonding H01L2021/60202using a protective atmosphere, e.g. with forming or shielding gas H01L2021/6021using an autocatalytic reaction H01L2021/60217Detaching bump connectors, e.g. after testing H01L2021/60225Arrangement of bump connectors prior to mounting H01L2021/60232wherein the bump connectors are disposed only on the semiconductor chip H01L2021/6024wherein the bump connectors are disposed only on the mounting substrate H01L2021/60247wherein the bump connectors are disposed on both the semiconductor chip and the mounting substrate, e.g. bump to bump H01L2021/60255wherein the bump connectors are provided as prepeg, e.g. are provided in an insulating plate member H01L2021/60262Lateral distribution of bump connectors prior to mounting H01L2021/6027Mounting on semiconductor conductive members H01L2021/60277involving the use of conductive adhesives H01L2021/60285involving the use of mechanical auxiliary parts without the use of an alloying or soldering process, e.g. pressure contacts H01L2021/60292involving the use of an electron or laser beam H01L21/603involving the application of pressure, e.g. thermo-compression bonding H01L21/607 takes precedence H01L21/607involving the application of mechanical vibrations, e.g. ultrasonic vibrations
H01L21/62the devices having no potential-jump barriers or surface barriers
H01L21/64Manufacture or treatment of solid state devices other than semiconductor devices, or of parts thereof, not peculiar to a single device provided for in groups H01L31/00 - H10K99/00 H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere processes per se H01L21/30, H01L21/46, H01L23/00; simple temporary support means, e.g. using adhesives, electric or magnetic means H01L21/68, H01L21/302; apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto H01L24/74;In this subgroup the term substrate designates a semiconductor or electric solid state device or component, or a wafer H01L21/67005Apparatus not specifically provided for elsewhere processes per se H01L21/30, H01L21/46, H01L23/00; simple temporary support means, e.g. using adhesives, electric or magnetic means H01L21/68, H01L21/302 H01L21/67011Apparatus for manufacture or treatment processes H01L21/30, H01L21/46; for production or after-treatment of single crystals or homogeneous polycrystalline material C30B35/00 H01L21/67017Apparatus for fluid treatment H01L21/67126, H01L21/6715 take precedence H01L21/67023for general liquid treatment, e.g. etching followed by cleaning H01L21/67028for cleaning followed by drying, rinsing, stripping, blasting or the like H01L21/67034for drying H01L21/6704for wet cleaning or washing H01L21/67046using mainly scrubbing means, e.g. brushes H01L21/67051using mainly spraying means, e.g. nozzles H01L21/67057with the semiconductor substrates being dipped in baths or vessels H01L21/67063for etching H01L21/67069for drying etching H01L21/67075for wet etching H01L21/6708using mainly spraying means, e.g. nozzles H01L21/67086with the semiconductor substrates being dipped in baths or vessels H01L21/67092Apparatus for mechanical treatment or grinding or cutting, see the relevant groups in subclasses B24B or B28D H01L21/67098Apparatus for thermal treatment H01L21/67103mainly by conduction H01L21/67109mainly by convection H01L21/67115mainly by radiation H01L21/67121Apparatus for making assemblies not otherwise provided for, e.g. package constructions H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like processes H01L23/02, H01L23/28 H01L21/67132Apparatus for placing on an insulating substrate, e.g. tape H01L21/67138Apparatus for wiring semiconductor or solid state device H01L21/67144Apparatus for mounting on conductive members, e.g. leadframes or conductors on insulating substrates H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like H01L21/67126 takes precedence H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations H01L21/67161characterized by the layout of the process chambers H01L21/67167surrounding a central transfer chamber H01L21/67173in-line arrangement H01L21/67178vertical arrangement H01L21/67184characterized by the presence of more than one transfer chamber H01L21/6719characterized by the construction of the processing chambers, e.g. modular processing chambers H01L21/67196characterized by the construction of the transfer chamber H01L21/67201characterized by the construction of the load-lock chamber H01L21/67207comprising a chamber adapted to a particular process H01L21/67213comprising at least one ion or electron beam chamber coating by ion implantation C23C; ion or electron beam tubes H01J37/00 H01L21/67219comprising at least one polishing chamber polishing apparatuses B24B H01L21/67225comprising at least one lithography chamber lithographic apparatuses G03F7/00 H01L21/6723comprising at least one plating chamber electroless plating apparatuses C23C, electroplating apparatuses C25D H01L21/67236the substrates being processed being not semiconductor wafers, e.g. leadframes or chips H01L21/67242Apparatus for monitoring, sorting or marking testing or measuring during manufacture H01L22/00, marks per se H01L23/544; testing individual semiconductor devices G01R31/26 H01L21/67248Temperature monitoring H01L21/67253Process monitoring, e.g. flow or thickness monitoring H01L21/67259Position monitoring, e.g. misposition detection or presence detection H01L21/67265of substrates stored in a container, a magazine, a carrier, a boat or the like H01L21/67271Sorting devices H01L21/67276Production flow monitoring, e.g. for increasing throughput program-control systems per se G05B19/00, e.g. total factory control G05B19/418 H01L21/67282Marking devices H01L21/67288Monitoring of warpage, curvature, damage, defects or the like H01L21/67294using identification means, e.g. labels on substrates or labels on containers H01L21/673using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders holders for supporting a complete device in operation H01L23/32 H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements H01L21/67306characterized by a material, a roughness, a coating or the like H01L21/67309characterized by the substrate support H01L21/67313Horizontal boat type carrier whereby the substrates are vertically supported, e.g. comprising rod-shaped elements H01L21/67316characterized by a material, a roughness, a coating or the like H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls H01L21/67323characterized by a material, a roughness, a coating or the like H01L21/67326Horizontal carrier comprising wall type elements whereby the substrates are vertically supported, e.g. comprising sidewalls H01L21/6733characterized by a material, a roughness, a coating or the like H01L21/67333Trays for chips magazine for components H05K13/0084 H01L21/67336characterized by a material, a roughness, a coating or the like H01L21/6734specially adapted for supporting large square shaped substrates containers and packaging elements for glass sheets B65D85/48, transporting of glass products during their manufacture C03B35/00 H01L21/67343characterized by a material, a roughness, a coating or the like H01L21/67346characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports H01L21/6735Closed carriers H01L21/67353specially adapted for a single substrate H01L21/67356specially adapted for containing chips, dies or ICs H01L21/67359specially adapted for containing masks, reticles or pellicles H01L21/67363specially adapted for containing substrates other than wafers H01L21/67356, H01L21/67359 take precedence H01L21/67366characterised by materials, roughness, coatings or the like materials relating to an injection moulding process B29C45/00; chemical composition of materials C08L51/00 H01L21/67369characterised by shock absorbing elements, e.g. retainers or cushions H01L21/67373characterised by locking systems H01L21/67376characterised by sealing arrangements H01L21/67379characterised by coupling elements, kinematic members, handles or elements to be externally gripped H01L21/67383characterised by substrate supports H01L21/67386characterised by the construction of the closed carrier H01L21/67389characterised by atmosphere control H01L21/67393characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl H01L21/67396characterised by the presence of antistatic elements H01L21/677for conveying, e.g. between different workstations H01L21/67703between different workstations H01L21/67706Mechanical details, e.g. roller, belt H01L21/67709 takes precedence H01L21/67709using magnetic elements H01L21/67712the substrate being handled substantially vertically H01L21/67715Changing the direction of the conveying path H01L21/67718Changing orientation of the substrate, e.g. from a horizontal position to a vertical position H01L21/67721the substrates to be conveyed not being semiconductor wafers or large planar substrates, e.g. chips, lead frames H01L21/6773 takes precedence H01L21/67724by means of a cart or a vehicule H01L21/67727using a general scheme of a conveying path within a factory H01L21/6773Conveying cassettes, containers or carriers H01L21/67733Overhead conveying H01L21/67736Loading to or unloading from a conveyor H01L21/67739into and out of processing chamber H01L21/67742Mechanical parts of transfer devices robots in general in B25J H01L21/67745characterized by movements or sequence of movements of transfer devices H01L21/67748horizontal transfer of a single workpiece H01L21/67751vertical transfer of a single workpiece H01L21/67754horizontal transfer of a batch of workpieces H01L21/67757vertical transfer of a batch of workpieces H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers H01L21/67763the wafers being stored in a carrier, involving loading and unloading H01L21/6779 takes precedence H01L21/67766Mechanical parts of transfer devices robots in general in B25J H01L21/67769Storage means H01L21/67772involving removal of lid, door, cover H01L21/67775Docking arrangements H01L21/67778involving loading and unloading of wafers H01L21/67781Batch transfer of wafers H01L21/67784using air tracks H01L21/67787with angular orientation of the workpieces H01L21/6779the workpieces being stored in a carrier, involving loading and unloading H01L21/67793with orientating and positioning by means of a vibratory bowl or track H01L21/67796with angular orientation of workpieces H01L21/67787 and H01L21/67793 take precedence H01L21/68for positioning, orientation or alignment H01L21/681using optical controlling means H01L21/682Mask-wafer alignment in general G03F7/70, G03F9/70 H01L21/683for supporting or gripping for conveying H01L21/677, for positioning, orientation or alignment H01L21/68 H01L21/6831using electrostatic chucks H01L21/6833Details of electrostatic chucks H01L21/6835using temporarily an auxiliary supportH01L21/6835, details of the apparatus are to be further indexed using the indexing codes chosen from H01L2221/68304 and subgroups H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes adhesive tapes in general C09J7/20 H01L21/6838with gripping and holding devices using a vacuum; Bernoulli devices H01L21/687using mechanical means, e.g. chucks, clamps or pinches using elecrostatic chucks H01L21/6831 H01L21/68707the wafers being placed on a robot blade, or gripped by a gripper for conveyance H01L21/68714the wafers being placed on a susceptor, stage or support H01L21/68721characterised by edge clamping, e.g. clamping ring H01L21/68728characterised by a plurality of separate clamping members, e.g. clamping fingers H01L21/68735characterised by edge profile or support profile H01L21/68742characterised by a lifting arrangement, e.g. lift pins H01L21/6875characterised by a plurality of individual support members, e.g. support posts or protrusions H01L21/68757characterised by a coating or a hardness or a material H01L21/68764characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel H01L21/68771characterised by supporting more than one semiconductor substrate H01L21/68778characterised by supporting substrates others than wafers, e.g. chips H01L21/68785characterised by the mechanical construction of the susceptor, stage or support H01L21/68792characterised by the construction of the shaft H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereofManufacture of integrated circuit devices or of parts thereof multistep manufacturing processes of assemblies consisting of a plurality of individual semiconductor or other solid state devices H01L25/00; manufacture of assemblies consisting of preformed electrical components H05K3/00, H05K13/00 H01L21/702of thick-or thin-film circuits or parts thereof H01L21/705of thick-film circuits or parts thereof H01L21/707of thin-film circuits or parts thereof H01L21/71Manufacture of specific parts of devices defined in group H01L21/70 H01L21/0405, H01L21/0445 , H01L21/28, H01L21/44, H01L21/48 take precedence H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts H01L21/743Making of internal connections, substrate contacts H01L21/746for AIII-BV integrated circuits H01L21/76Making of isolation regions between components H01L21/7602between components manufactured in an active substrate comprising SiC compounds H01L21/7605between components manufactured in an active substrate comprising AIII BV compounds H01L21/7607between components manufactured in an active substrate comprising AIIBVI compounds H01L21/761PN junctions H01L21/762Dielectric regions , e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers H01L21/76202using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO H01L21/76235 takes precedence; together with vertical isolation, e.g. LOCOS in a SOI substrate, H01L21/76264 H01L21/76205in a region being recessed from the surface, e.g. in a recess, groove, tub or trench region H01L21/76208using auxiliary pillars in the recessed region, e.g. to form LOCOS over extended areas H01L21/7621the recessed region having a shape other than rectangular, e.g. rounded or oblique shape H01L21/76208 takes precedence H01L21/76213introducing electrical inactive or active impurities in the local oxidation region, e.g. to alter LOCOS oxide growth characteristics or for additional isolation purpose H01L21/76216introducing electrical active impurities in the local oxidation region for the sole purpose of creating channel stoppers H01L21/76218introducing both types of electrical active impurities in the local oxidation region for the sole purpose of creating channel stoppers, e.g. for isolation of complementary doped regions H01L21/76221with a plurality of successive local oxidation steps H01L21/76224using trench refilling with dielectric materials trench filling with polycristalline silicon H01L21/763; together with vertical isolation, e.g. trench refilling in a SOI substrate H01L21/76264 H01L21/76227the dielectric materials being obtained by full chemical transformation of non-dielectric materials, such as polycristalline silicon, metals H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches H01L21/76232of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls H01L21/76229 takes precedence H01L21/76235trench shape altered by a local oxidation of silicon process step, e.g. trench corner rounding by LOCOS H01L21/76237introducing impurities in trench side or bottom walls, e.g. for forming channel stoppers or alter isolation behavior H01L21/7624using semiconductor on insulator [SOI] technology H01L21/76297 takes precedence; manufacture of integrated circuits on insulating substrates H01L21/84; silicon on sapphire [SOS] technology H01L21/86 H01L21/76243using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques H01L21/76245using full isolation by porous oxide silicon, i.e. FIPOS techniques H01L21/76248using lateral overgrowth techniques, i.e. ELO techniques H01L21/76251using bonding techniques H01L21/76254with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond H01L21/76256using silicon etch back techniques, e.g. BESOI, ELTRAN H01L21/76259with separation/delamination along a porous layer H01L21/76262using selective deposition of single crystal silicon, i.e. SEG techniques H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands H01L21/76267Vertical isolation by silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques H01L21/7627Vertical isolation by full isolation by porous oxide silicon, i.e. FIPOS techniques H01L21/76272Vertical isolation by lateral overgrowth techniques, i.e. ELO techniques H01L21/76275Vertical isolation by bonding techniques H01L21/76278Vertical isolation by selective deposition of single crystal silicon, i.e. SEG techniques H01L21/76281Lateral isolation by selective oxidation of silicon H01L21/76283Lateral isolation by refilling of trenches with dielectric material H01L21/76286Lateral isolation by refilling of trenches with polycristalline material H01L21/76289Lateral isolation by air gap H01L21/76291Lateral isolation by field effect H01L21/76294using selective deposition of single crystal silicon, i.e. SEG techniques H01L21/76297Dielectric isolation using EPIC techniques, i.e. epitaxial passivated integrated circuit H01L21/763Polycrystalline semiconductor regions H01L21/76264 takes precedence H01L21/764Air gaps H01L21/76264 takes precedence H01L21/765by field effect H01L21/76264 takes precedence H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectricsGroups H01L21/768 - H01L21/76898cover multi-step processes for manufacturing interconnections. Information peculiar to single-step processes should also be classified in the corresponding group, e.g. cleaning H01L21/02041etching H01L21/311, H01L21/3213masking H01L21/027, H01L21/033, H01L21/31144, H01L21/32139planarizing H01L21/3105, H01L21/321 H01L21/76801characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing H01L21/76802by forming openings in dielectrics H01L21/76804by forming tapered via holes H01L21/76805the opening being a via or contact hole penetrating the underlying conductor H01L21/76807for dual damascene structures H01L21/76808involving intermediate temporary filling with material H01L21/7681involving one or more buried masks H01L21/76811involving multiple stacked pre-patterned masks H01L21/76813involving a partial via etch H01L21/76814post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches layout of the interconnections per se H01L23/528; CAD of ICs G06F30/00 H01L21/76817using printing or stamping techniques H01L21/76819Smoothing of the dielectric planarisation of insulating materials per se H01L21/31051 H01L21/7682the dielectric comprising air gaps H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. H01L21/76823transforming an insulating layer into a conductive layer H01L21/76825by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc. plasma treatment H01L21/76826 H01L21/76826by contacting the layer with gases, liquids or plasmas H01L21/76828thermal treatment H01L21/76829characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers H01L21/76831in via holes or trenches, e.g. non-conductive sidewall liners H01L21/76832Multiple layers H01L21/76834formation of thin insulating films on the sidewalls or on top of conductors H01L21/76831 takes precedence H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant H01L21/76832 takes precedence H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics H01L21/76838characterised by the formation and the after-treatment of the conductors etching for patterning the conductors H01L21/3213 When the interconnect is also used as the conductor part of a conductor insulator semiconductor electrode (gate level interconnections), documents are classified in the relevant electrode manufacture groups, e.g. H01L21/28026 H01L21/7684Smoothing; Planarisation H01L21/76841Barrier, adhesion or liner layers H01L21/76843formed in openings in a dielectric H01L21/76844Bottomless liners H01L21/76846Layer combinations H01L21/76847the layer being positioned within the main fill metal H01L21/76849the layer being positioned on top of the main fill metal H01L21/7685the layer covering a conductive structure H01L21/76849 takes precedence H01L21/76852the layer also covering the sidewalls of the conductive structure H01L21/76853characterized by particular after-treatment steps H01L21/76855After-treatment introducing at least one additional element into the layer H01L21/76856by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner H01L21/76858by diffusing alloying elements H01L21/76859by ion implantation H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation H01L21/76864Thermal treatment H01L21/76865Selective removal of parts of the layer H01L21/76844 takes precedence H01L21/76867characterized by methods of formation other than PVD, CVD or deposition from a liquids PVD H01L21/2855; CVD H01L21/28556; deposition from liquids H01L21/288 H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films H01L21/7687Thin films associated with contacts of capacitors H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers H01L21/76873for electroplating H01L21/76874for electroless plating H01L21/76876for deposition from the gas phase, e.g. CVD H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material H01L21/76879by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating plating on semiconductors in general H01L21/288 H01L21/7688by deposition over sacrificial masking layer, e.g. lift-off lift-off per se H01L21/0272 H01L21/76882Reflowing or applying of pressure to better fill the contact hole H01L21/76883Post-treatment or after-treatment of the conductive material H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation H01L21/76889by forming silicides of refractory metals H01L21/76891by using superconducting materials H01L21/76892modifying the pattern H01L21/76894using a laser, e.g. laser cutting, laser direct writing, laser repair H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365 H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step self-aligned silicidation on field effect transistors H01L29/665 H01L21/76898formed through a semiconductor substrate H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate electrically programmable read-only memories or multistep manufacturing processes therefor H10B69/00 Integration processes for the manufacture of devices of the type classified in H01L27/14, H01L27/15, H10N19/00, H10N39/00, H10N59/00, H10N79/00, H10N89/00, H10K19/00, H10K39/00, H10K59/00 and H10K65/00 are not classified in this group and its sub-groups. Instead, as they are peculiar to said devices, they are classified together with the devices Multistep processes for manufacturing memory structures in general using field effect technology are covered by H10B99/00; Multistep processes for manufacturing dynamic random access memory structures are covered by H10B12/01; Multistep processes for manufacturing static random access memory structures are covered by H10B10/00; Multistep processes for manufacturing read-only memory structures are covered by H10B20/00; Multistep processes for manufacturing electrically programmable read-only memory structures are covered by H10B69/00 H01L2021/775comprising a plurality of TFTs on a non-semiconducting substrate, e.g. driving circuits for AMLCDs H01L21/78with subsequent division of the substrate into plural individual devices cutting to change the surface-physical characteristics or shape of semiconductor bodies H01L21/304 H01L21/7806involving the separation of the active layers from a substrate H01L21/7813leaving a reusable substrate, e.g. epitaxial lift off H01L21/782to produce devices, each consisting of a single circuit element H01L21/82 takes precedence H01L21/784the substrate being a semiconductor body H01L21/786the substrate being other than a semiconductor body, e.g. insulating body H01L21/82to produce devices, e.g. integrated circuits, each consisting of a plurality of components H01L21/8206the substrate being a semiconductor, using diamond technology H01L21/8258 takes precedence H01L21/8213the substrate being a semiconductor, using SiC technology H01L21/8258 takes precedence H01L21/822the substrate being a semiconductor, using silicon technology H01L21/8258 takes precedence H01L21/8221Three dimensional integrated circuits stacked in different levels H01L21/8222Bipolar technology H01L21/8224comprising a combination of vertical and lateral transistors H01L21/8226comprising merged transistor logic or integrated injection logic H01L21/8228Complementary devices, e.g. complementary transistors H01L21/82285Complementary vertical transistors H01L21/8232Field-effect technology H01L21/8234MIS technology , i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type H01L21/823406Combination of charge coupled devices, i.e. CCD, or BBD H01L21/823412with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials H01L21/823418with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures H01L21/823425manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures H01L21/823431with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET H01L21/823437with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes H01L21/823443silicided or salicided gate conductors H01L21/82345gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures H01L21/823456gate conductors with different shapes, lengths or dimensions H01L21/823462with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants H01L21/823468with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape H01L21/823475interconnection or wiring or contact manufacturing related aspects H01L21/823481isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure H01L21/823487with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface with a current flow parallel to the substrate surface H01L21/823431 H01L21/823493with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI] H01L21/8236Combination of enhancement and depletion transistors H01L21/8238Complementary field-effect transistors, e.g. CMOS H01L21/823807with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials H01L21/823814with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures H01L21/823821with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET H01L21/823828with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes H01L21/823835silicided or salicided gate conductors H01L21/823842gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures H01L21/82385gate conductors with different shapes, lengths or dimensions H01L21/823857with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants H01L21/823864with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape H01L21/823871interconnection or wiring or contact manufacturing related aspects H01L21/823878isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure H01L21/823885with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface with a current flow parallel to the substrate surface H01L21/823821 H01L21/823892with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI] H01L21/8248Combination of bipolar and field-effect technology H01L21/8249Bipolar and MOS technology H01L21/8252the substrate being a semiconductor, using III-V technology H01L21/8258 takes precedence H01L21/8254the substrate being a semiconductor, using II-VI technology H01L21/8258 takes precedence H01L21/8256the substrate being a semiconductor, using technologies not covered by one of groups H01L21/8206, H01L21/8213 , H01L21/822, H01L21/8252 and H01L21/8254 H01L21/8258 takes precedence H01L21/8258the substrate being a semiconductor, using a combination of technologies covered by H01L21/8206, H01L21/8213 , H01L21/822, H01L21/8252, H01L21/8254 or H01L21/8256 H01L21/84the substrate being other than a semiconductor body, e.g. being an insulating body H01L21/845including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET H01L21/86the insulating body being sapphire, e.g. silicon on sapphire structure, i.e. SOS
H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor H01L22/10Measuring as part of the manufacturing process burn-in G01R31/2855 H01L22/12for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions electrical measurement of diffusions H01L22/14 H01L22/14for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps H01L22/22Connection or disconnection of sub-entities or redundant parts of a device in response to a measurement testing and repair of stores after manufacture including at wafer scale G11C29/00; fuses per se H01L23/525 H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change voltage contrast G01R31/311 H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement endpoint detection arrangements in CMP apparatus B24B37/013, in discharge apparatus H01J37/32 H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors arrangements for conducting electric current to or from the solid state body in operation H01L23/48 H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line switching, multiplexing, gating devices G01R19/25; process control with lithography, e.g. dose control, G03F7/20; structures for alignment control by optical means G03F7/70633 H01L23/00Details of semiconductor or other solid state devices H01L25/00 takes precedence ; structural arrangements for testing or measuring during manufacture or treatment, or for reliability measurements H01L22/00; arrangements for connecting or disconnecting semiconductor or solid-state bodies, or methods related thereto H01L24/00; finger print sensors G06V40/12 This group does not cover: details of semiconductor bodies or of electrodes of devices provided for in group H01L29/00, which details are covered by that group; details peculiar to devices provided for in a single main group of groups H01L31/00, H01L33/00, H10K30/00, H10K50/00, H10K59/00, H10K71/00, H10K85/00, H10K99/00, H10N10/00, H10N30/00, H10N35/00, H10N50/00, H10N52/00, H10N60/00, which details are covered by those groups. H01L23/02ContainersSeals H01L23/12, H01L23/34, H01L23/48, H01L23/552, H01L23/66 take precedence; for memories G11C H01L23/04characterised by the shape of the container or parts, e.g. caps, walls H01L23/041the container being a hollow construction having no base used as a mounting for the semiconductor body H01L23/043the container being a hollow construction and having a conductive base as a mounting as well as a lead for the semiconductor body H01L23/045the other leads having an insulating passage through the base H01L23/047the other leads being parallel to the base H01L23/049the other leads being perpendicular to the base H01L23/051another lead being formed by a cover plate parallel to the base plate, e.g. sandwich type H01L23/053the container being a hollow construction and having an insulating or insulated base as a mounting for the semiconductor body H01L23/055the leads having a passage through the base H01L23/057 takes precedence H01L23/057the leads being parallel to the base H01L23/06characterised by the material of the container or its electrical properties H01L23/08the material being an electrical insulator, e.g. glass H01L23/10characterised by the material or arrangement of seals between parts, e.g. between cap and base of the container or between leads and walls of the container H01L23/12Mountings, e.g. non-detachable insulating substrates H01L23/13characterised by the shape H01L23/14characterised by the material or its electrical properties printed circuit boards H05K1/00 H01L23/142Metallic substrates having insulating layers H01L23/145Organic substrates, e.g. plastic H01L23/147Semiconductor insulating substrates semiconductor conductive substrates H01L23/4926 H01L23/15Ceramic or glass substrates H01L23/142, H01L23/145, H01L23/147 take precedence H01L23/16Fillings or auxiliary members in containers or encapsulations, e.g. centering rings H01L23/42, H01L23/552 take precedence H01L23/18Fillings characterised by the material, its physical or chemical properties, or its arrangement within the complete device Group H01L23/26 takes precedence over groups H01L23/20 - H01L23/24 H01L23/20gaseous at the normal operating temperature of the device H01L23/22liquid at the normal operating temperature of the device H01L23/24solid or gel at the normal operating temperature of the device H01L23/3135 takes precedence H01L23/26including materials for absorbing or reacting with moisture or other undesired substances , e.g. getters H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protectionH01L23/552 takes precedence; insulating layers for contacts or interconnections H01L23/5329 H01L23/29characterised by the material , e.g. carbon interlayer dielectrics H01L23/5329 H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass H01L23/293Organic, e.g. plastic H01L23/295containing a filler H01L23/296 takes precedence H01L23/296Organo-silicon compounds H01L23/298Semiconductor material, e.g. amorphous silicon H01L23/31characterised by the arrangement or shape H01L23/3107the device being completely enclosed H01L23/3114the device being a chip scale package, e.g. CSP H01L23/3121a substrate forming part of the encapsulation H01L23/3128the substrate having spherical bumps for external connection H01L23/3135Double encapsulation or coating and encapsulation H01L23/3142Sealing arrangements between parts, e.g. adhesion promotors H01L23/315the encapsulation having a cavity H01L23/3157Partial encapsulation or coating mask layer used as insulation layer H01L21/31 H01L23/3164the coating being a foil H01L23/3171the coating being directly applied to the semiconductor body, e.g. passivation layer H01L23/3178 takes precedence H01L23/3178Coating or filling in grooves made in the semiconductor body H01L23/3185the coating covering also the sidewalls of the semiconductor body H01L23/3192Multilayer coating H01L23/32Holders for supporting the complete device in operation, i.e. detachable fixtures H01L23/40 takes precedence H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements thermal treatment apparatus H01L21/00 H01L23/345Arrangements for heating thermal treatment apparatus H01L21/00 H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks H01L23/28, H01L23/40, H01L23/42, H01L23/44, H01L23/46 take precedence; heating H01L23/345 H01L23/367Cooling facilitated by shape of device H01L23/38, H01L23/40, H01L23/42, H01L23/44, H01L23/46 take precedence H01L23/3672Foil-like cooling fins or heat sinks being part of lead-frames H01L23/49568 H01L23/3675characterised by the shape of the housing H01L23/3677Wire-like or pin-like cooling fins or heat sinks H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon H01L23/3731Ceramic materials or glass H01L23/3732, H01L23/3733, H01L23/3735, H01L23/3737, H01L23/3738 take precedence H01L23/3732Diamonds H01L23/3733having a heterogeneous or anisotropic structure, e.g. powder or fibres in a matrix, wire mesh, porous structures H01L23/3732, H01L23/3737 take precedence H01L23/3735Laminates or multilayers, e.g. direct bond copper ceramic substrates H01L23/3736Metallic materials H01L23/3732, H01L23/3733, H01L23/3735, H01L23/3737, H01L23/3738 take precedence H01L23/3737Organic materials with or without a thermoconductive filler H01L23/3738Semiconductor materials H01L23/38Cooling arrangements using the Peltier effect H01L23/40Mountings or securing means for detachable cooling or heating arrangements heating H01L23/345; fixed by friction, plugs or springs H01L23/4006with bolts or screws H01L23/4012for stacked arrangements of a plurality of semiconductor devices assemblies per se H01L25/00 H01L2023/4018characterised by the type of device to be heated or cooled H01L2023/4025Base discrete devices, e.g. presspack, disc-type transistors H01L2023/4031Packaged discrete devices, e.g. to-3 housings, diodes H01L2023/4037characterised by thermal path or place of attachment of heatsink H01L2023/4043heatsink to have chip H01L2023/405heatsink to package H01L2023/4056heatsink to additional heatsink H01L2023/4062heatsink to or through board or cabinet H01L2023/4068Heatconductors between device and heatsink, e.g. compliant heat-spreaders, heat-conducting bands H01L2023/4075Mechanical elements H01L2023/4081Compliant clamping elements not primarily serving heat-conduction H01L2023/4087Mounting accessories, interposers, clamping or screwing parts H01L23/4093Snap-on arrangements, e.g. clips H01L23/42Fillings or auxiliary members in containers or encapsulations selected or arranged to facilitate heating or cooling H01L23/427Cooling by change of state, e.g. use of heat pipes by liquefied gas H01L23/445 H01L23/4275by melting or evaporation of solids H01L23/433Auxiliary members in containers characterised by their shape, e.g. pistons H01L23/4332Bellows H01L23/4334Auxiliary members in encapsulations H01L23/49568 takes precedence H01L23/4336in combination with jet impingement H01L23/4338Pistons, e.g. spring-loaded members H01L23/44the complete device being wholly immersed in a fluid other than air H01L23/427 takes precedence H01L23/445the fluid being a liquefied gas, e.g. in a cryogenic vessel H01L23/46involving the transfer of heat by flowing fluids H01L23/42, H01L23/44 take precedence H01L23/467by flowing gases, e.g. air H01L23/473 takes precedence H01L23/473by flowing liquids H01L23/4332, H01L23/4338 take precedence H01L23/4735Jet impingement H01L23/4336 takes precedence H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor Arrangements for connecting or disconnecting semiconductor or other solid state bodies, or methods related thereto, other than those arrangements or methods covered by the following subgroups, are covered by H01L24/00 H01L23/481Internal lead connections, e.g. via connections, feedthrough structures H01L23/482consisting of lead-in layers inseparably applied to the semiconductor body electrodes H01L29/40 H01L23/4821Bridge structure with air gap H01L23/4822Beam leads H01L23/4824Pads with extended contours, e.g. grid structure, branch structure, finger structure H01L23/4825for devices consisting of semiconductor layers on insulating or semi-insulating substrates, e.g. silicon on sapphire devices, i.e. SOS H01L23/4827Materials H01L23/4828Conductive organic material or pastes, e.g. conductive adhesives, inks H01L23/485consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts H01L23/4821, H01L23/4822, H01L23/4824, H01L23/4825 take precedence; materials H01L23/532, bond pads H01L24/02, bump connectors H01L24/10 H01L23/4855Overhang structure H01L23/488consisting of soldered or bonded constructions bump connectors H01L24/01 H01L23/49wire-like arrangements or pins or rods using optical fibres H01L23/48; pins attached to insulating substrates H01L23/49811 H01L23/492Bases or plates or solder therefor H01L23/4922having a heterogeneous or anisotropic structure H01L23/4924characterised by the materials H01L23/4926the materials containing semiconductor material H01L23/4928the materials containing carbon H01L23/495Lead-frames or other flat leads H01L23/498 takes precedence; lead frame interconnections between components H01L23/52 H01L23/49503characterised by the die pad H01L23/49506an insulative substrate being used as a diepad, e.g. ceramic, plastic H01L23/49531 takes precedence H01L23/4951Chip-on-leads or leads-on-chip techniques, i.e. inner lead fingers being used as die pad H01L23/49513having bonding material between chip and die pad H01L23/49517Additional leads H01L23/4952the additional leads being a bump or a wire H01L23/49524the additional leads being a tape carrier or flat leads H01L23/49527the additional leads being a multilayer H01L23/49531the additional leads being a wiring board H01L23/49534Multi-layer H01L23/49537Plurality of lead frames mounted in one device H01L23/49541Geometry of the lead-frame H01L23/49544Deformation absorbing parts in the lead frame plane, e.g. meanderline shape H01L23/49562 takes precedence H01L23/49548Cross section geometry H01L23/49562 takes precedence H01L23/49551characterised by bent parts H01L23/49555the bent parts being the outer leads H01L23/49558Insulating layers on lead frames, e.g. bridging members H01L23/49562for devices being provided for in H01L29/00 H01L23/49565Side rails of the lead frame, e.g. with perforations, sprocket holes H01L23/49568specifically adapted to facilitate heat dissipation H01L23/49572consisting of thin flexible metallic tape with or without a film carrier H01L23/49503 - H01L23/49568 and H01L23/49575 - H01L23/49579 take precedence H01L23/49575Assemblies of semiconductor devices on lead frames H01L23/49579characterised by the materials of the lead frames or layers thereon H01L23/49582Metallic layers on lead frames H01L23/49586Insulating layers on lead frames H01L23/49589Capacitor integral with or on the leadframe H01L23/49593Battery in combination with a leadframe H01L23/49596Oscillators in combination with lead-frames H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers shape of the substrate H01L23/13 H01L23/49805the leads being also applied on the sidewalls or the bottom of the substrate, e.g. leadless packages for surface mounting H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads H01L23/49827 takes precedence H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA] H01L23/49822Multilayer substrates multilayer metallisation on monolayer substrate H01L23/498 H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables H01L23/49822, H01L23/49833, H01L23/4985, H01L23/49861 take precedence H01L23/49833the chip support structure consisting of a plurality of insulating substrates H01L23/49838Geometry or layout H01L23/49844for devices being provided for in H01L29/00 H01L23/4985Flexible insulating substrates H01L23/49572 and H01L23/49855 take precedence H01L23/49855for flat-cards, e.g. credit cards cards per se G06K19/00 H01L23/49861Lead-frames fixed on or encapsulated in insulating substrates H01L23/4985, H01L23/49805 take precedence H01L23/49866characterised by the materials materials of the substrates H01L23/14, of the lead-frames H01L23/49579 H01L23/49872the conductive materials containing semiconductor material H01L23/49877Carbon, e.g. fullerenes superconducting fullerenes H10N60/853 H01L23/49883the conductive materials containing organic materials or pastes, e.g. for thick films for printed circuits H05K1/092 H01L23/49888the conductive materials containing superconducting material H01L23/49894Materials of the insulating layers or coatings H01L23/50for integrated circuit devices, e.g. power bus, number of leadsH01L23/482 - H01L23/498 take precedence H01L23/52Arrangements for conducting electric current within the device in operation from one component to another , i.e. interconnections, e.g. wires, lead frames optical interconnections G02B6/00 H01L23/522including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body H01L23/5221Crossover interconnections H01L23/5222Capacitive arrangements or effects of, or between wiring layers other capacitive arrangements H01L23/642 H01L23/5223Capacitor integral with wiring layers H01L23/5225Shielding layers formed together with wiring layers H01L23/5226Via connections in a multilevel interconnection structure H01L23/5227Inductive arrangements or effects of, or between, wiring layers other inductive arrangements H01L23/645 H01L23/5228Resistive arrangements or effects of, or between, wiring layers other resistive arrangements H01L23/647 H01L23/525with adaptable interconnections H01L23/5252comprising anti-fuses, i.e. connections having their state changed from non-conductive to conductive H01L23/5254the change of state resulting from the use of an external beam, e.g. laser beam or ion beam H01L23/5256comprising fuses, i.e. connections having their state changed from conductive to non-conductive H01L23/5258the change of state resulting from the use of an external beam, e.g. laser beam or ion beam H01L23/528Geometry or layout of the interconnection structure H01L27/0207 takes precedence; algorithms G06F30/00 H01L23/5283Cross-sectional geometry H01L23/5286Arrangements of power or ground buses H01L23/532characterised by the materials H01L23/53204Conductive materials H01L23/53209based on metals, e.g. alloys, metal silicides H01L23/53285 takes precedence H01L23/53214the principal metal being aluminium H01L23/53219Aluminium alloys H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers H01L23/53228the principal metal being copper H01L23/53233Copper alloys H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers H01L23/53242the principal metal being a noble metal, e.g. gold H01L23/53247Noble-metal alloys H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers H01L23/53257the principal metal being a refractory metal H01L23/53261Refractory-metal alloys H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers H01L23/53271containing semiconductor material, e.g. polysilicon H01L23/53276containing carbon, e.g. fullerenes superconducting fullerenes H10N60/853 H01L23/5328containing conductive organic materials or pastes, e.g. conductive adhesives, inks H01L23/53285containing superconducting materials H01L23/5329Insulating materials H01L23/53295Stacked insulating layers H01L23/535including internal interconnections, e.g. cross-under constructions internal lead connections H01L23/481 H01L23/538the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates H05K takes precedence; manufacture or treatment H01L21/4846 ; mountings per se H01L23/12; materials H01L23/49866 H01L23/5381Crossover interconnections, e.g. bridge stepovers H01L23/5382Adaptable interconnections, e.g. for engineering changes H01L23/5383Multilayer substrates H01L23/5385 takes precedence; multilayer metallisation on monolayer substrates H01L23/538 H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors H01L23/5383, H01L23/5385 take precedence; pins attached to insulating substrates H01L23/49811 H01L23/5385Assembly of a plurality of insulating substrates H01L23/5386Geometry or layout of the interconnection structure H01L23/5387Flexible insulating substrates H01L23/5388 takes precedence H01L23/5388for flat cards, e.g. credit cards cards per se G06K19/00 H01L23/5389the chips being integrally enclosed by the interconnect and support structures H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps test patterns for characterising or monitoring manufacturing processes H01L22/00 When classifying in group H01L23/544, details are to be further indexed by using the indexing codes chosen from H01L2223/544 and subgroups H01L23/552Protection against radiation, e.g. light or electromagnetic waves H01L23/556against alpha rays H01L23/562Protection against mechanical damage H01L23/02, H01L23/28 take precedence H01L23/564Details not otherwise provided for, e.g. protection against moisture getters H01L23/26 H01L23/57Protection from inspection, reverse engineering or tampering H01L23/573using passive means H01L23/576using active circuits H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for , e.g. in combination with batteries H01L23/49593, H01L23/49596 take precedence H01L23/585comprising conductive layers or plates or strips or rods or rings H01L23/60, H01L23/62, H01L23/64, H01L23/66 take precedence H01L23/60Protection against electrostatic charges or discharges, e.g. Faraday shields H01L23/62Protection against overvoltage, e.g. fuses, shunts H01L23/64Impedance arrangements H01L23/642Capacitive arrangements H01L23/49589, H01L23/645, H01L23/647, H01L23/66 take precedence; capacitive effects between wiring layers on the semiconductor body H01L23/5222 H01L23/645Inductive arrangements H01L23/647, H01L23/66 take precedence H01L23/647Resistive arrangements H01L23/66, H01L23/62 take precedence H01L23/66High-frequency adaptations When classifying in group H01L23/66, details are to be further indexed by using the indexing codes chosen from H01L2223/66 and subgroups H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related theretoThis group does not cover:details of semiconductor bodies or of electrodes of devices provided for in group H01L29/00, which details are covered by that group; details peculiar to devices provided for in a single main group of groups H01L31/00, H01L33/00, H10K30/00, H10K50/00, H10K59/00, H10K71/00, H10K85/00, H10K99/00, H10N10/00, H10N30/00, H10N35/00, H10N50/00, H10N52/00, H10N60/00, which details are covered by those groups. printed circuits, which are covered by groups H05K1/00 - H05K1/189; apparatus or manufacturing processes for printed circuits, which are covered by groups H05K3/00 - H05K3/4685; manufacture or treatment of parts, which are covered by group H01L21/48 and subgroups except H01L21/4885 - H01L21/4896; assemblies of semiconductor devices, which are covered by groups H01L21/50 - H01L21/568; applying interconnections to be used for carrying current between separate components within a device, which is covered by group H01L21/768 and subgroups; containers or seals, which are covered by groups H01L23/02 - H01L23/10; mountings, which are covered by groups H01L23/12 - H01L23/15 and subgroups; arrangements for cooling, heating, ventilating or temperature compensation, which are covered by groups H01L23/34 - H01L23/4735; arrangements for conducting electric current, which are covered by groups H01L23/48 - H01L23/50, and by groups H01L23/52 - H01L23/5389; structural electrical arrangements, which are covered by groups H01L23/58 - H01L23/66; assemblies of semiconductor or other solid state devices, which are covered by groups H01L25/00 - H01L25/18. In this group the following indexing codes are used : H01L24/00, H01L2224/00, H01L2924/00, and subgroups thereof H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto H01L24/02Bonding areas on insulating substrates, e.g. chip carriers, H01L23/49816, H01L23/49838, H01L23/5389; Manufacturing methods related thereto H01L24/03Manufacturing methods H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process H01L24/05of an individual bonding area H01L24/06of a plurality of bonding areas H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process H01L24/08of an individual bonding area H01L24/09of a plurality of bonding areas H01L24/10Bump connectors bumps on insulating substrates, e.g. chip carriers, H01L23/49816; Manufacturing methods related thereto H01L24/11Manufacturing methods for bumps on insulating substrates H01L21/4853 H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process H01L24/13of an individual bump connector H01L24/14of a plurality of bump connectors H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process H01L24/16of an individual bump connector H01L24/17of a plurality of bump connectors H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto interconnection structure between a plurality of semiconductor chips H01L23/5389 H01L24/19Manufacturing methods of high density interconnect preforms H01L24/20Structure, shape, material or disposition of high density interconnect preforms H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process H01L24/24of an individual high density interconnect connector H01L24/25of a plurality of high density interconnect connectors H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto H01L24/27Manufacturing methods H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process H01L24/29of an individual layer connector H01L24/30of a plurality of layer connectors H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process H01L24/32of an individual layer connector H01L24/33of a plurality of layer connectors H01L24/34Strap connectors, e.g. copper straps for grounding power devices; Manufacturing methods related thereto H01L24/35Manufacturing methods H01L24/36Structure, shape, material or disposition of the strap connectors prior to the connecting process H01L24/37of an individual strap connector H01L24/38of a plurality of strap connectors H01L24/39Structure, shape, material or disposition of the strap connectors after the connecting process H01L24/40of an individual strap connector H01L24/41of a plurality of strap connectors H01L24/42Wire connectors; Manufacturing methods related thereto H01L24/43Manufacturing methods H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process H01L24/45of an individual wire connector H01L24/46of a plurality of wire connectors H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process H01L24/48of an individual wire connector H01L24/49of a plurality of wire connectors H01L24/50Tape automated bonding [TAB] connectors, i.e. film carriers; Manufacturing methods related thereto thin flexible metallic tape with or without a film carrier H01L23/49572, flexible insulating substrates H01L23/4985, H01L23/5387 H01L24/63Connectors not provided for in any of the groups H01L24/10 - H01L24/50 and subgroups; Manufacturing methods related thereto H01L24/64Manufacturing methods H01L24/65Structure, shape, material or disposition of the connectors prior to the connecting process H01L24/66of an individual connector H01L24/67of a plurality of connectors H01L24/68Structure, shape, material or disposition of the connectors after the connecting process H01L24/69of an individual connector H01L24/70of a plurality of connectors H01L24/71Means for bonding not being attached to, or not being formed on, the surface to be connected holders for supporting the complete device in operation  H01L23/32 H01L24/72Detachable connecting means consisting of mechanical auxiliary parts connecting the device, e.g. pressure contacts using springs or clips H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71 H01L24/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies H01L24/741Apparatus for manufacturing means for bonding, e.g. connectors H01L24/742Apparatus for manufacturing bump connectors H01L24/743Apparatus for manufacturing layer connectors H01L24/744Apparatus for manufacturing strap connectors H01L24/745Apparatus for manufacturing wire connectors H01L24/75Apparatus for connecting with bump connectors or layer connectors H01L24/76Apparatus for connecting with build-up interconnects H01L24/77Apparatus for connecting with strap connectors H01L24/78Apparatus for connecting with wire connectors H01L24/79Apparatus for Tape Automated Bonding [TAB] H01L24/799Apparatus for disconnecting H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected H01L24/81using a bump connector H01L24/82by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI] interconnection structure between a plurality of semiconductor chips H01L23/5389 H01L24/83using a layer connector H01L24/84using a strap connector H01L24/85using a wire connector wire bonding in general B23K20/004 H01L24/86using tape automated bonding [TAB] H01L24/89using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86 H01L24/90Methods for connecting semiconductor or solid state bodies using means for bonding not being attached to, or not being formed on, the body surface to be connected, e.g. pressure contacts using springs or clips H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90 H01L24/92Specific sequence of method steps H01L24/93Batch processes H01L24/94at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices H01L24/95at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips H01L24/96the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting H01L24/97the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting H01L24/98Methods for disconnecting semiconductor or solid-state bodies H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereofdevices consisting of a plurality of solid state components formed in or on a common substrate H01L27/00; photovoltaic modules or arrays of photovoltaic cells H01L31/042 ; panels or arrays of photo electrochemical cells H01G9/2068This group does not cover:· assemblies of electronic memory devices only, which are covered by H10B80/00;assemblies of organic devices only, which are covered by groups H10K19/00, H10K39/00, H10K59/00 or H10K65/00;assemblies of electric solid-state devices only, which are covered by groups H10N19/00, H10N39/00, H10N59/00, H10N69/00, H10N79/00 or H10N89/00. H01L25/03all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes H01L25/04the devices not having separate containersGroup H01L25/04 is impacted by reclassification into groups H10K19/00, H10K39/10, H10K59/90, H10K59/95 and H10K65/00.
All groups listed in this Warning should be considered in order to perform a complete search.
H01L25/041the devices being of a type provided for in group H01L31/00 H01L25/042the devices being arranged next to each other solar cells H01L31/042 H01L25/043Stacked arrangements of devices H01L25/065the devices being of a type provided for in group H01L27/00 Group H01L25/0652 takes precedence over groups H01L25/0655 and H01L25/0657Group H01L25/065 is impacted by reclassification into group H10B80/00.
Groups H01L25/065 and H10B80/00 should be considered in order to perform a complete search.
H01L25/0652the devices being arranged next and on each other, i.e. mixed assembliesGroup H01L25/0652 is impacted by reclassification into group H10B80/00.
Groups H01L25/0652 and H10B80/00 should be considered in order to perform a complete search.
H01L25/0655the devices being arranged next to each otherGroup H01L25/0655 is impacted by reclassification into group H10B80/00.
Groups H01L25/0655 and H10B80/00 should be considered in order to perform a complete search.
H01L25/0657Stacked arrangements of devicesGroup H01L25/0657 is impacted by reclassification into group H10B80/00.
Groups H01L25/0657 and H10B80/00 should be considered in order to perform a complete search.
H01L25/07the devices being of a type provided for in group H01L29/00 Group H01L25/071 takes precedence over groups H01L25/072 - H01L25/074 H01L25/071the devices being arranged next and on each other, i.e. mixed assemblies H01L25/072the devices being arranged next to each other H01L25/073Apertured devices mounted on one or more rods passed through the apertures H01L25/074Stacked arrangements of non-apertured devices H01L25/075the devices being of a type provided for in group H01L33/00 H01L25/0753the devices being arranged next to each other H01L25/0756Stacked arrangements of devices
H01L25/10the devices having separate containers H01L25/105the devices being of a type provided for in group H01L27/00 When classifying in group H01L25/105, details of the assemblies are to be further indexed by using the indexing codes chosen from H01L2225/1005 and subgroups H01L25/11the devices being of a type provided for in group H01L29/00 Group H01L25/112 takes precedence over groups H01L25/115 and H01L25/117 H01L25/112Mixed assemblies H01L25/115the devices being arranged next to each other H01L25/117Stacked arrangements of devices H01L25/13the devices being of a type provided for in group H01L33/00
H01L25/16the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuitsGroups H01L25/16, H01L25/162, H01L25/165 and H01L25/167 are impacted by reclassification into groups H10B80/00, H10K39/10, H10K59/90, H10K59/95, H10N19/00, H10N39/00, H10N59/00, H10N69/00, H10N79/00 and H10N89/00.
All groups listed in this Warning should be considered in order to perform a complete search.
H01L25/162the devices being mounted on two or more different substrates H01L25/165Containers H01L25/167comprising optoelectronic devices, e.g. LED, photodiodes
H01L25/18the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10NGroup H01L25/18 is impacted by reclassification into groups H10B80/00, H10K19/00, H10K39/10, H10K59/90, H10K59/95, H10K65/00, H10N19/00, H10N39/00, H10N59/00, H10N69/00, H10N79/00 and H10N89/00.
All groups listed in this Warning should be considered in order to perform a complete search.
H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00 H01L21/50 takes precedence
H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate details thereof H01L23/00, H01L29/00 - H10K10/00; assemblies consisting of a plurality of individual solid state devices H01L25/00In this group the last place priority rule is applied, i.e. at each hierarchical level, in the absence of an indication to the contrary, classification is made in the last appropriate place. H01L27/01comprising only passive thin-film or thick-film elements formed on a common insulating substrate passive two-terminal components without a potential-jump or surface barrier for integrated circuits, details thereof and multistep manufacturing processes therefor H01L28/00 H01L27/013Thick-film circuits H01L27/016Thin-film circuits H01L27/02including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrierincluding integrated passive circuit elements with at least one potential-jump barrier or surface barrier H01L27/0203Particular design considerations for integrated circuits H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique H01L27/0211adapted for requirements of temperature H01L27/0214for internal polarisation, e.g. I2L H01L27/0218of field effect structures H01L27/0222Charge pumping, substrate bias generation structures H01L27/0225Charge injection in static induction transistor logic structures [SITL] H01L27/0229of bipolar structures H01L27/0233Integrated injection logic structures [I2L] H01L27/0237using vertical injector structures H01L27/024using field effect injector structures H01L27/0244I2L structures integrated in combination with analog structures H01L27/0248for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection H01L27/0251for MOS devices H01L27/0255using diodes as protective elements H01L27/0259using bipolar transistors as protective elements H01L27/0262including a PNP transistor and a NPN transistor, wherein each of said transistors has its base coupled to the collector of the other transistor, e.g. silicon controlled rectifier [SCR] devices H01L27/0266using field effect transistors as protective elements H01L27/027specially adapted to provide an electrical current path other than the field effect induced current path H01L27/0274involving a parasitic bipolar transistor triggered by the electrical biasing of the gate electrode of the field effect transistor, e.g. gate coupled transistors H01L27/0277involving a parasitic bipolar transistor triggered by the local electrical biasing of the layer acting as base of said parasitic bipolar transistor H01L27/0281field effect transistors in a "Darlington-like" configuration H01L27/0285bias arrangements for gate electrode of field effect transistors, e.g. RC networks, voltage partitioning circuits H01L27/0281 takes precedence H01L27/0288using passive elements as protective elements, e.g. resistors, capacitors, inductors, spark-gaps H01L27/0292using a specific configuration of the conducting means connecting the protective devices, e.g. ESD buses H01L27/0296involving a specific disposition of the protective devices H01L27/04the substrate being a semiconductor body H01L27/06including a plurality of individual components in a non-repetitive configuration H01L27/0605integrated circuits made of compound material, e.g. AIIIBV H01L27/0611integrated circuits having a two-dimensional layout of components without a common active region H01L27/0617comprising components of the field-effect type H01L27/0251 takes precedence H01L27/0623in combination with bipolar transistors H01L27/0629in combination with diodes, or resistors, or capacitors H01L27/0635in combination with bipolar transistors and diodes, or resistors, or capacitors H01L27/0641without components of the field effect type H01L27/0647Bipolar transistors in combination with diodes, or capacitors, or resistors, e.g. vertical bipolar transistor and bipolar lateral transistor and resistor H01L27/0652Vertical bipolar transistor in combination with diodes, or capacitors, or resistors H01L27/0658Vertical bipolar transistor in combination with resistors or capacitors H01L27/0664Vertical bipolar transistor in combination with diodes H01L27/067Lateral bipolar transistor in combination with diodes, or capacitors, or resistors H01L27/0676comprising combinations of diodes, or capacitors or resistors H01L27/0682comprising combinations of capacitors and resistors H01L27/0688Integrated circuits having a three-dimensional layout H01L27/0694comprising components formed on opposite sides of a semiconductor substrate H01L27/07the components having an active region in common H01L27/0705comprising components of the field effect type H01L27/0711in combination with bipolar transistors and diodes, or capacitors, or resistors H01L27/0716in combination with vertical bipolar transistors and diodes, or capacitors, or resistors H01L27/0722in combination with lateral bipolar transistors and diodes, or capacitors, or resistors H01L27/0727in combination with diodes, or capacitors or resistors H01L27/0733in combination with capacitors only H01L27/0738in combination with resistors only H01L27/0744without components of the field effect type H01L27/075Bipolar transistors in combination with diodes, or capacitors, or resistors, e.g. lateral bipolar transistor, and vertical bipolar transistor and resistor H01L27/0755Vertical bipolar transistor in combination with diodes, or capacitors, or resistors H01L27/0761Vertical bipolar transistor in combination with diodes only H01L27/0766with Schottky diodes only H01L27/0772Vertical bipolar transistor in combination with resistors only H01L27/0777Vertical bipolar transistor in combination with capacitors only H01L27/0783Lateral bipolar transistors in combination with diodes, or capacitors, or resistors H01L27/0788comprising combinations of diodes or capacitors or resistors H01L27/0794Combinations of capacitors and resistors H01L27/08including only semiconductor components of a single kind H01L27/0802Resistors only H01L27/0805Capacitors only H01L27/0808Varactor diodes H01L27/0811MIS diodes H01L27/0814Diodes only H01L27/0817Thyristors only H01L27/082including bipolar components only H01L27/0821Combination of lateral and vertical transistors only H01L27/0823including vertical bipolar transistors only H01L27/0825Combination of vertical direct transistors of the same conductivity type having different characteristics,(e.g. Darlington transistors) H01L27/0826Combination of vertical complementary transistors H01L27/0828Combination of direct and inverse vertical transistors H01L27/085including field-effect components only H01L27/088the components being field-effect transistors with insulated gate H01L27/0883Combination of depletion and enhancement field effect transistors H01L27/0886including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET H01L27/092complementary MIS field-effect transistors H01L27/0921Means for preventing a bipolar, e.g. thyristor, action between the different transistor regions, e.g. Latchup prevention H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS H01L27/0924including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET H01L27/0925comprising an N-well only in the substrate H01L27/0927comprising a P-well only in the substrate H01L27/0928comprising both N- and P- wells in the substrate, e.g. twin-tub H01L27/095the components being Schottky barrier gate field-effect transistors H01L27/098the components being PN junction gate field-effect transistors H01L27/10including a plurality of individual components in a repetitive configurationGroup H01L27/10 is impacted by reclassification into group H10B99/10.
Groups H01L27/10 and H10B99/10 should be considered in order to perform a complete search.
H01L27/101including resistors or capacitors onlyGroup H01L27/101 is impacted by reclassification into group H10B99/14.
Groups H01L27/101 and H10B99/14 should be considered in order to perform a complete search.
H01L27/102including bipolar componentsGroup H01L27/102 is impacted by reclassification into group H10B99/00.
Groups H01L27/102 and H10B99/00 should be considered in order to perform a complete search.
H01L27/1021including diodes onlyGroup H01L27/1021 is impacted by reclassification into group H10B99/16.
Groups H01L27/1021 and H10B99/16 should be considered in order to perform a complete search.
H01L27/1022including bipolar transistorsGroup H01L27/1022 is impacted by reclassification into group H10B99/00.
Groups H01L27/1022 and H10B99/00 should be considered in order to perform a complete search.
H01L27/1027ThyristorsGroup H01L27/1027 is impacted by reclassification into groups H10B10/10, H10B12/10, H10B20/10, H10B69/00 and H10B99/20.
All groups listed in this Warning should be considered in order to perform a complete search.
H01L27/1028Double base diodesGroup H01L27/1028 is impacted by reclassification into groups H10B10/10, H10B12/10, H10B20/10, H10B69/00 and H10B99/20.
All groups listed in this Warning should be considered in order to perform a complete search.
H01L27/105including field-effect componentsIn this group and its subgroups classification is made in any appropriate placeGroup H01L27/105 is impacted by reclassification into group H10B99/22.
Groups H01L27/105 and H10B99/22 should be considered in order to perform a complete search.
H01L27/1055comprising charge coupled devices of the so-called bucket brigade type H01L27/1057comprising charge coupled devices [CCD] or charge injection devices [CID]
H01L27/118Masterslice integrated circuits H01L27/11801using bipolar technology H01L27/11803using field effect technology H01L2027/11805A3B5 or A3B6 gate arrays H01L27/11807CMOS gate arrays H01L2027/11809Microarchitecture H01L2027/11811Basic cell P to N transistor count H01L2027/118124-T CMOS basic cell H01L2027/118145-T CMOS basic cell H01L2027/118166-T CMOS basic cell H01L2027/118187-T CMOS basic cell H01L2027/11828-T CMOS basic cell H01L2027/11822relative P to N transistor sizes H01L2027/11824for current drive capability H01L2027/11825for delay time adaptation H01L2027/11827for capacitive loading H01L2027/11829Isolation techniques H01L2027/11831FET isolation H01L2027/11833LOCOS H01L2027/11835Degree of specialisation for implementing specific functions H01L2027/11837Implementation of digital circuits H01L2027/11838Implementation of memory functions H01L2027/1184Implementation of analog circuits H01L2027/11842Resistors and capacitors H01L2027/11844Hybrid analog or digital H01L2027/11846Embedded IO cells H01L2027/11848Transmission gate H01L2027/1185Porous cells, i.e. pass-through elements H01L2027/11851Technology used, i.e. design rules H01L2027/11853Sub-micron technology H01L2027/11855Twin-tub technology H01L2027/11857SOS, SOI technology H01L2027/11859Connectibility characteristics, i.e. diffusion and polysilicon geometries H01L2027/11861Substrate and well contacts H01L2027/11862Horizontal or vertical grid line density H01L2027/11864Yield or reliability H01L2027/11866Gate electrode terminals or contacts H01L2027/11868Macro-architecture H01L2027/1187Number of core or basic cells in the macro (RAM, ROM) H01L2027/11872Distribution function, e.g. Sea of Gates H01L2027/11874Layout specification, i.e. inner core region H01L2027/11875Wiring region, routing H01L2027/11877Avoiding clock-skew or clock-delay H01L2027/11879Data lines (buses) H01L2027/11881Power supply lines H01L2027/11883Levels of metallisation H01L2027/11885Two levels of metal H01L2027/11887Three levels of metal H01L2027/11888More than 3 levels of metal H01L2027/1189Latch-up prevention H01L2027/11892Noise prevention (crosstalk) H01L2027/11894Radiation hardened circuits H01L27/11896using combined field effect/bipolar technology H01L27/11898Input and output buffer/driver structures
H01L27/12the substrate being other than a semiconductor body, e.g. an insulating body H01L27/1203the substrate comprising an insulating body on a semiconductor body, e.g. SOI three-dimensional layout H01L27/0688 H01L27/1207combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits H01L27/1211combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET H01L27/1214comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDsGroup H01L27/1218H01L27/1296 are incomplete pending reclassification of documents from group H01L27/1214.
Groups H01L27/1218H01L27/1296 and H01L27/1214 should be considered in order to perform a complete search.
H01L27/1218with a particular composition or structure of the substrate H01L27/1222with a particular composition, shape or crystalline structure of the active layer H01L27/1225with semiconductor materials not belonging to the group IV of the periodic table, e.g. InGaZnO H01L27/1229with different crystal properties within a device or between different devices H01L27/1233with different thicknesses of the active layer in different devices H01L27/1237with a different composition, shape, layout or thickness of the gate insulator in different devices H01L27/124with a particular composition, shape or layout of the wiring layers specially adapted to the circuit arrangement, e.g. scanning lines in LCD pixel circuits wiring structures per se H01L23/52 H01L27/1244for preventing breakage, peeling or short circuiting H01L27/1248with a particular composition or shape of the interlayer dielectric specially adapted to the circuit arrangement H01L27/1251comprising TFTs having a different architecture, e.g. top- and bottom gate TFTs H01L27/1255integrated with passive devices, e.g. auxiliary capacitors H01L27/1259Multistep manufacturing methods H01L27/1262with a particular formation, treatment or coating of the substrate H01L27/1266the substrate on which the devices are formed not being the final device substrate, e.g. using a temporary substrate H01L27/127with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement H01L27/1274using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor H01L27/1277using a crystallisation promoting species, e.g. local introduction of Ni catalyst H01L27/1281by using structural features to control crystal growth, e.g. placement of grain filters H01L27/1285using control of the annealing or irradiation parameters, e.g. using different scanning direction or intensity for different transistors H01L27/1288employing particular masking sequences or specially adapted masks, e.g. half-tone mask H01L27/1292using liquid deposition, e.g. printing H01L27/1296adapted to increase the uniformity of device parameters
H01L27/13combined with thin-film or thick-film passive components
H01L27/14including semiconductor components sensitive to infra-red radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation radiation-sensitive components structurally associated with one or more electric light sources only H01L31/14; couplings of light guides with optoelectronic elements G02B6/42 H01L27/142Energy conversion devices photovoltaic modules or arrays of single photovoltaic cells comprising bypass diodes integrated or directly associated with the devices H01L31/0443; photovoltaic modules composed of a plurality of thin film solar cells deposited on the same substrate H01L31/046 H01L27/1421comprising bypass diodes integrated or directly associated with the device, e.g. bypass diode integrated or formed in or on the same substrate as the solar cell H01L27/144Devices controlled by radiation H01L27/1443with at least one potential jump or surface barrier H01L27/1446in a repetitive configuration H01L27/146Imager structures H01L27/14601Structural or functional details thereof H01L27/14603Special geometry or disposition of pixel-elements, address-lines or gate-electrodes H01L27/14605Structural or functional details relating to the position of the pixel elements, e.g. smaller pixel elements in the center of the imager compared to pixel elements at the periphery H01L27/14607Geometry of the photosensitive area H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements scanning details of imagers H04N3/15; circuitry of imagers H04N25/70 H01L27/1461characterised by the photosensitive area H01L27/14612involving a transistor H01L27/14614having a special gate structure H01L27/14616characterised by the channel of the transistor, e.g. channel having a doping gradient H01L27/14618Containers H01L27/1462Coatings H01L27/14621Colour filter arrangements H01L27/14623Optical shielding H01L27/14625Optical elements or arrangements associated with the device H01L27/14627Microlenses H01L27/14629Reflectors H01L27/1463Pixel isolation structures H01L27/14632Wafer-level processed structures H01L27/14634Assemblies, i.e. Hybrid structures H01L27/14636Interconnect structures H01L27/14638Structures specially adapted for transferring the charges across the imager perpendicular to the imaging plane H01L27/1464Back illuminated imager structures H01L27/14641Electronic components shared by two or more pixel-elements, e.g. one amplifier shared by two pixel elements H01L27/14643Photodiode arrays; MOS imagers H01L27/14645Colour imagers H01L27/14647Multicolour imagers having a stacked pixel-element structure, e.g. npn, npnpn or MQW elements H01L27/14649Infra-red imagers H01L27/1465of the hybrid type H01L27/14652Multispectral infra-red imagers, having a stacked pixel-element structure, e.g. npn, npnpn or MQW structures H01L27/14654Blooming suppression H01L27/14656Overflow drain structures H01L27/14658X-ray, gamma-ray or corpuscular radiation imagers measuring X-, gamma- or corpuscular radiation G01T1/00 H01L27/14659Direct radiation imagers structures H01L27/14661of the hybrid type H01L27/14663Indirect radiation imagers, e.g. using luminescent members H01L27/14665Imagers using a photoconductor layer H01L27/14667Colour imagers H01L27/14669Infra-red imagers H01L27/1467of the hybrid type H01L27/14672Blooming suppression H01L27/14674Overflow drain structures H01L27/14676X-ray, gamma-ray or corpuscular radiation imagers measuring X-, gamma- or corpuscular radiation G01T1/00 H01L27/14678Contact-type imagers H01L27/14679Junction field effect transistor [JFET] imagers; static induction transistor [SIT] imagers H01L27/14681Bipolar transistor imagers H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof not peculiar thereto H01L21/00 H01L27/14685Process for coatings or optical elements H01L27/14687Wafer level processing H01L27/14689MOS based technologies H01L27/1469Assemblies, i.e. hybrid integration H01L27/14692Thin film technologies, e.g. amorphous, poly, micro- or nanocrystalline silicon H01L27/14694The active layers comprising only AIIIBV compounds, e.g. GaAs, InP H01L27/14696The active layers comprising only AIIBVI compounds, e.g. CdS, ZnS, CdTe H01L27/14698Post-treatment for the devices, e.g. annealing, impurity-gettering, shor-circuit elimination, recrystallisation H01L27/148Charge coupled imagers individual charge coupled devices H01L29/765 H01L27/14806Structural or functional details thereof H01L27/14812Special geometry or disposition of pixel-elements, address lines or gate-electrodes H01L27/14818Optical shielding H01L27/14825Linear CCD imagers H01L27/14831Area CCD imagers H01L27/14837Frame-interline transfer H01L27/14843Interline transfer H01L27/1485Frame transfer H01L27/14856Time-delay and integration H01L27/14862CID imagers H01L27/14868CCD or CID colour imagers H01L27/14875Infra-red CCD or CID imagers H01L27/14881of the hybrid type H01L27/14887Blooming suppression H01L27/14893comprising a photoconductive layer deposited on the CCD structure H01L27/15including semiconductor components with at least one potential-jump barrier or surface barrier specially adapted for light emission monolithically integrated components including semiconductor laser components H01S5/026 H01L27/153in a repetitive configuration, e.g. LED bars H01L27/156two-dimensional arrays
H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor testing or measuring during manufacture H01L22/00; integration methods H01L21/70; integrated circuits H01L27/00; two-terminal components with a potential-jump or surface barrier H01L29/00; resistors in general H01C; inductors in general H01F; capacitors in general H01G H01L28/10Inductors H01L28/20Resistors H01L28/22with an active material comprising carbon, e.g. diamond or diamond-like carbon [DLC] H01L28/24with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides H01L28/26with an active material comprising an organic conducting material, e.g. conducting polymers H01L28/40Capacitors H01L28/55with a dielectric comprising a perovskite structure material H01L28/56the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers H01L28/57comprising a barrier layer to prevent diffusion of hydrogen or oxygen H01L28/60Electrodes H01L28/65comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2) H01L28/75comprising two or more layers, e.g. comprising a barrier layer and a metal layer H01L28/82with an enlarged surface, e.g. formed by texturisation H01L28/84being a rough surface, e.g. using hemispherical grains H01L28/86having horizontal extensions H01L28/87made by depositing layers, e.g. by depositing alternating conductive and insulating layers H01L28/88made by patterning layers, e.g. by etching conductive layers H01L28/90having vertical extensions H01L28/91made by depositing layers, e.g. by depositing alternating conductive and insulating layers H01L28/92made by patterning layers, e.g. by etching conductive layers H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layerDetails of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes thereforH01L31/00 - H01L33/00, H10K10/00, H10N take precedence; details other than of semiconductor bodies or of electrodes thereof H01L23/00; devices consisting of a plurality of solid state components formed in or on a common substrate H01L27/00 ; passive two-terminal components without a potential-jump or surface barrier for integrated circuits, details thereof and multistep manufacturing processes therefor H01L28/00 resistors in general H01C; capacitors in general H01G, e.g. ceramic barrier-layer capacitors H01G4/1272 In this main group, classification is made both in groups H01L29/02 - H01L29/51 and in groups H01L29/66 - H01L29/94 if both of these sets of groups are relevant. H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor H01L29/04characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes characterised by physical imperfections H01L29/30 H01L29/045by their particular orientation of crystalline planes H01L29/06characterised by their shapecharacterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions H01L29/0603characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions isolation regions between components H01L21/76; design considerations for integrated circuits H01L27/00; geometrical design considerations for devices H01L29/0657 H01L29/0607for preventing surface leakage or controlling electric field concentration H01L29/0611for increasing or controlling the breakdown voltage of reverse biased devices H01L29/0661 takes precedence H01L29/0615by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] LDD or drain offset regions H01L29/7833 H01L29/0619with a supplementary region doped oppositely to or in rectifying contact with the semiconductor containing or contacting region, e.g. guard rings with PN or Schottky junction H01L29/0623Buried supplementary region, e.g. buried guard ring multi-RESURF H01L29/0634 H01L29/0626with a localised breakdown region, e.g. built-in avalanching region in self-protected thyristors H01L29/7424 H01L29/063Reduced surface field [RESURF] pn-junction structures H01L29/0634Multiple reduced surface field (multi-RESURF) structures, e.g. double RESURF, charge compensation, cool, superjunction (SJ), 3D-RESURF, composite buffer (CB) structures H01L29/0638for preventing surface leakage due to surface inversion layer, e.g. with channel stopper channel stoppers in combination with isolation region for integrated circuits H01L21/762 H01L29/0642Isolation within the component, i.e. internal isolation H01L29/0646PN junctions H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps H01L29/0653adjoining the input or output region of a field-effect device, e.g. the source or drain region H01L29/0657characterised by the shape of the body H01L29/0661specially adapted for altering the breakdown voltage by removing semiconductor material at, or in the neighbourhood of, a reverse biased junction, e.g. by bevelling, moat etching, depletion etching H01L29/0665the shape of the body defining a nanostructure nanotechnology per se B82B H01L29/0669Nanowires or nanotubes carbon nanotubes as material of solid-state device active part H10K85/211 H01L29/0673oriented parallel to a substrate H01L29/0676oriented perpendicular or at an angle to a substrate H01L29/068comprising a junction H01L29/0684characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions H01L29/0688characterised by the particular shape of a junction between semiconductor regions H01L29/0692Surface layout H01L29/0696of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs H01L29/08with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes H01L29/0804Emitter regions of bipolar transistors H01L29/0808of lateral transistors H01L29/0813Non-interconnected multi-emitter structures H01L29/0817of heterojunction bipolar transistors H01L29/7375 takes precedence H01L29/0821Collector regions of bipolar transistors H01L29/0826Pedestal collectors H01L29/083Anode or cathode regions of thyristors or gated bipolar-mode devices H01L29/0834Anode regions of thyristors or gated bipolar-mode devices, e.g. supplementary regions surrounding anode regions H01L29/0839Cathode regions of thyristors H01L29/0843Source or drain regions of field-effect devices H01L29/0847of field-effect transistors with insulated gate H01L29/0653 takes precedence; with a passive supplementary region between source or drain and substrate related to punch-through, capacity or isolation phenomena H01L29/1079; with LDD or DDD structure H01L29/7833; for thin film transistors H01L29/78618 H01L29/0852of DMOS transistorsGroups H01L29/0852H01L29/0886 are incomplete pending reclassification of documents from group H01L29/0847 and H01L29/7801.
Groups H01L29/0852H01L29/0886 and H01L29/0847, H01L29/7801 should be considered in order to perform a complete search.
H01L29/0856Source regions H01L29/086Impurity concentration or distribution H01L29/0865Disposition H01L29/0869Shape cell layout H01L29/0696 H01L29/0873Drain regions H01L29/0878Impurity concentration or distribution H01L29/0882Disposition H01L29/0886Shape
H01L29/0891of field-effect transistors with Schottky gate
H01L29/0895Tunnel injectors
H01L29/10with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes H01L29/1004Base region of bipolar transistors H01L29/1008of lateral transistors H01L29/1012Base regions of thyristors H01L29/083 takes precedence H01L29/1016Anode base regions of thyristors H01L29/102Cathode base regions of thyristors H01L29/1025Channel region of field-effect devices H01L29/1029of field-effect transistors H01L29/1033with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with channel and gate aligned in the lengthwise direction H01L29/42376; with buried channel H01L29/7838 H01L29/1037and non-planar channel resulting from the gate electrode disposition, e.g. within a trench, H01L29/42356 H01L29/1041with a non-uniform doping structure in the channel region surface H01L29/1045the doping structure being parallel to the channel length, e.g. DMOS like H01L29/105with vertical doping variation H01L29/7827 takes precedence H01L29/1054with a variation of the composition, e.g. channel with strained layer for increasing the mobility H01L29/1058with PN junction gate H01L29/1062of charge coupled devices H01L29/1066Gate region of field-effect devices with PN junction gate H01L29/107Substrate region of field-effect devices H01L29/1075of field-effect transistors H01L29/1079with insulated gate H01L29/1083with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current H01L29/1087characterised by the contact structure of the substrate region, e.g. for controlling or preventing bipolar effect H01L29/1091of charge coupled devices H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs cell layout H01L29/0696
H01L29/12characterised by the materials of which they are formed H01L29/122Single quantum well structures single heterojunctions, couples of materials H01L29/165, H01L29/205, H01L29/225, H01L29/267 H01L29/125Quantum wire structures H01L29/127Quantum box structures H01L29/15Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices such structures applied for the control of light G02F1/017, applied in semiconductor lasers H01S5/34 Group H01L29/15 takes precedence over groups H01L29/16 - H01L29/26. H01L29/151Compositional structures H01L29/157 and H01L29/158 take precedence H01L29/152with quantum effects only in vertical direction, i.e. layered structures with quantum effects solely resulting from vertical potential variation H01L29/154comprising at least one long range structurally disordered material, e.g. one-dimensional vertical amorphous superlattices H01L29/155Comprising only semiconductor materials H01L29/154 takes precedence H01L29/157Doping structures, e.g. doping superlattices, nipi superlattices delta doping in general H01L29/365 H01L29/158Structures without potential periodicity in a direction perpendicular to a major surface of the substrate, i.e. vertical direction, e.g. lateral superlattices, lateral surface superlattices [LSS] H01L29/16including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System H01L29/1602Diamond H01L29/1604Amorphous materials H01L29/1606Graphene H01L29/1608Silicon carbide H01L29/161including two or more of the elements provided for in group H01L29/16 , e.g. alloys H01L29/1604 takes precedence H01L29/165in different semiconductor regions , e.g. heterojunctions H01L29/167further characterised by the doping material H01L29/1604 takes precedence H01L29/18Selenium or tellurium only, apart from doping materials or other impurities H01L29/185Amorphous materials H01L29/20including, apart from doping materials or other impurities, only AIIIBV compounds H01L29/2003Nitride compounds H01L29/2006Amorphous materials H01L29/201including two or more compounds , e.g. alloys H01L29/2006 takes precedence H01L29/205in different semiconductor regions , e.g. heterojunctions H01L29/207further characterised by the doping material H01L29/2006 takes precedence H01L29/22including, apart from doping materials or other impurities, only AIIBVI compounds H01L29/2203Cd X compounds being one element of the 6th group of the Periodic System H01L29/2206 takes precedence H01L29/2206Amorphous materials H01L29/221including two or more compounds , e.g. alloys H01L29/2206 takes precedence H01L29/225in different semiconductor regions , e.g. heterojunctions H01L29/227further characterised by the doping material H01L29/2206 takes precedence H01L29/24including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22 including organic materials H10K99/00 H01L29/242AIBVI or AIBVII compounds, e.g. Cu2O, Cu I H01L29/247 takes precedence H01L29/245Pb compounds, e.g. PbO H01L29/247 takes precedence H01L29/247Amorphous materials H01L29/26including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24 , e.g. alloys H01L29/263Amorphous materials H01L29/267in different semiconductor regions , e.g. heterojunctions H01L29/263 takes precedence H01L29/30characterised by physical imperfectionshaving polished or roughened surface H01L29/32the imperfections being within the semiconductor body H01L29/34the imperfections being on the surface H01L29/36characterised by the concentration or distribution of impurities in the bulk material within semiconductor regions H01L29/06 H01L29/365Planar doping, e.g. atomic-plane doping, delta-doping
H01L29/40Electrodes ; Multistep manufacturing processes therefor H01L29/401Multistep manufacturing processes H01L29/4011for data storage electrodes H01L29/40111the electrodes comprising a layer which is used for its ferroelectric properties H01L29/40114the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure H01L29/40117the electrodes comprising a charge-trapping insulator H01L29/402Field plates H01L29/404Multiple field plate structures H01L29/405Resistive arrangements, e.g. resistive or semi-insulating field plates H01L29/407Recessed field plates, e.g. trench field plates, buried field plates H01L29/408with an insulating layer with a particular dielectric or electrostatic property, e.g. with static charges or for controlling trapped charges or moving ions, or with a plate acting on the insulator potential or the insulator charges, e.g. for controlling charges effect or potential distribution in the insulating layer, or with a semi-insulating layer contacting directly the semiconductor surface H01L29/41characterised by their shape, relative sizes or dispositions H01L29/413Nanosized electrodes, e.g. nanowire electrodes comprising one or a plurality of nanowires nanosized carbon materials, e.g. carbon nanotubes, per se C01B32/15; transparent electrodes comprising carbon nano-tubes H10K30/821, nanotechnology per se B82B H01L29/417carrying the current to be rectified, amplified or switched H01L29/41708Emitter or collector electrodes for bipolar transistors H01L29/41716Cathode or anode electrodes for thyristors H01L29/41725Source or drain electrodes for field effect devices with monocrystalline semiconductor on source/drain region H01L29/0843 H01L29/41733for thin film transistors with insulated gate H01L29/41741for vertical or pseudo-vertical devicesA pseudo-vertical device is a device with the drain and source electrodes on the same main surface and where the main current is vertical at least in a part of its path H01L29/4175for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-holeThe sink or via-hole leading to the source or drain region is considered to form part of the source or drain electrode H01L29/41758for lateral devices with structured layout for source or drain region, i.e. the source or drain region having cellular, interdigitated or ring structure or being curved or angular H01L29/41733 - H01L29/4175 take precedenceInterdigitated structure means that at least one of the source or drain region has two or more fingers H01L29/41766with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor H01L29/41733 - H01L29/41758 take precedence H01L29/41775characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode H01L29/41783Raised source or drain electrodes self aligned with the gate H01L29/41791for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET H01L29/423not carrying the current to be rectified, amplified or switched H01L29/42304Base electrodes for bipolar transistors H01L29/42308Gate electrodes for thyristors H01L29/42312Gate electrodes for field effect devices H01L29/42316for field-effect transistors H01L29/4232with insulated gate H01L29/42324Gate electrodes for transistors with a floating gate H01L29/42328with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate H01L29/42332with the floating gate formed by two or more non connected parts, e.g. multi-particles flating gate H01L29/42336with one gate at least partly formed in a trench H01L29/4234Gate electrodes for transistors with charge trapping gate insulator H01L29/42344with at least one additional gate, e.g. program gate, erase gate or select gate H01L29/42348with trapping site formed by at least two separated sites, e.g. multi-particles trapping site H01L29/42352with the gate at least partly formed in a trench H01L29/42356Disposition, e.g. buried gate electrode H01L29/42324 and H01L29/4234 take precedence H01L29/4236within a trench, e.g. trench gate electrode, groove gate electrode H01L29/42364characterised by the insulating layer, e.g. thickness or uniformity H01L29/42324 and H01L29/4234 take precedence H01L29/42368the thickness being non-uniform H01L29/42372characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out H01L29/42324 takes precedence H01L29/42376characterised by the length or the sectional shape H01L29/4238characterised by the surface lay-out H01L29/42384for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor H01L2029/42388characterised by the shape of the insulating material H01L29/42392fully surrounding the channel, e.g. gate-all-around H01L29/42396for charge coupled devices H01L29/43characterised by the materials of which they are formed H01L29/432Heterojunction gate for field effect devices H01L29/435Resistive materials for field effect devices, e.g. resistive gate for MOSFET or MESFET H01L29/437Superconductor materials H01L29/45Ohmic electrodes H01L29/452on AIII-BV compounds H01L29/454on thin film AIII-BV compounds H01L29/456on silicon H01L29/458for thin film silicon, e.g. source or drain electrode H01L29/47Schottky barrier electrodes H01L29/435 takes precedence H01L29/475on AIII-BV compounds H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET H01L29/435 takes precedenceThis group covers also devices using any other conductor material in place of metal H01L29/4908for thin film semiconductor, e.g. gate of TFT H01L29/4916the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen H01L29/4908, H01L29/4983 take precedence H01L29/4925with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with only a vertical doping structure or vertical doping variation H01L29/4916 H01L29/4933with a silicide layer contacting the silicon layer, e.g. Polycide gate with a barrier layer between the silicide and silicon layers H01L29/4941 H01L29/4941with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon H01L29/495the conductor material next to the insulator being a simple metal, e.g. W, Mo H01L29/4908, H01L29/4983 take precedence H01L29/4958with a multiple layer structure H01L29/4966the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 H01L29/4908, H01L29/4983 take precedence H01L29/4975being a silicide layer, e.g. TiSi2 H01L29/4983with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material H01L29/4991comprising an air gapGroup H01L29/4991 is incomplete pending reclassification of documents from group H01L29/4983.
Groups H01L29/4991 and H01L29/4983 should be considered in order to perform a complete search.
H01L29/51Insulating materials associated therewith for MIS structures on thin film semiconductor H01L29/4908 H01L29/511with a compositional variation, e.g. multilayer structures H01L29/516 takes precedence H01L29/512the variation being parallel to the channel plane H01L29/513the variation being perpendicular to the channel plane H01L29/515with cavities, e.g. containing a gas H01L29/516with at least one ferroelectric layer H01L29/517the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate H01L29/518 takes precedence H01L29/518the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor H01L29/66007Multistep manufacturing processes H01L29/66015of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene H01L29/66022the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices H01L29/6603Diodes H01L29/66037the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices H01L29/66045Field-effect transistors H01L29/66053of devices having a semiconductor body comprising crystalline silicon carbide H01L29/6606the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices H01L29/66068the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices H01L29/66075of devices having semiconductor bodies comprising group 14 or group 13/15 materials comprising semiconducting carbon H01L29/66015; comprising crystalline silicon carbide H01L29/66053 H01L29/66083the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices H01L29/6609Diodes H01L29/66098Breakdown diodes H01L29/66106Zener diodes H01L29/66113Avalanche diodes H01L29/66121Multilayer diodes, e.g. PNPN diodes H01L29/66128Planar diodes H01L29/66136PN junction diodes H01L29/66143Schottky diodes H01L29/66151Tunnel diodes group 13/15 resonant tunneling diodes H01L29/66219 H01L29/66159Transit time diodes, e.g. IMPATT, TRAPATT diodes H01L29/66166Resistors with PN junction H01L29/66174Capacitors with PN or Schottky junction, e.g. varactors capacitors with PN junction combined with MOS control H01L29/66189 H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors H01L29/66189with PN junction, e.g. hybrid capacitors H01L29/66196with an active layer made of a group 13/15 material H01L29/66204Diodes H01L29/66212Schottky diodes H01L29/66219with a heterojunction, e.g. resonant tunneling diodes [RTD] H01L29/66227the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices H01L29/66234Bipolar junction transistors [BJT] H01L29/66242Heterojunction transistors [HBT] with an active layer made of a group 13/15 material H01L29/66318 H01L29/6625Lateral transistors H01L29/66242 and H01L29/66265 take precedence H01L29/66257Schottky transistors H01L29/66265Thin film bipolar transistors H01L29/66242 takes precedence H01L29/66272Silicon vertical transistors H01L29/66242, H01L29/66257 and H01L29/66265 take precedence H01L29/6628Inverse transistors H01L29/66287with a single crystalline emitter, collector or base including extrinsic, link or graft base formed on the silicon substrate, e.g. by epitaxy, recrystallisation, after insulating device isolation H01L29/6628 takes precedence H01L29/66295with main current going through the whole silicon substrate, e.g. power bipolar transistor H01L29/66303with multi-emitter, e.g. interdigitated, multi-cellular or distributed emitter H01L29/6631with an active layer made of a group 13/15 material H01L29/66318Heterojunction transistors H01L29/66325controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT] H01L29/66333Vertical insulated gate bipolar transistors H01L29/6634with a recess formed by etching in the source/emitter contact region H01L29/66348 takes precedence; etching of semiconductor bodies H01L21/302 H01L29/66348with a recessed gate H01L29/66356Gated diodes, e.g. field controlled diodes [FCD], static induction thyristors [SITh], field controlled thyristors [FCTh] H01L29/66363Thyristors H01L29/66371structurally associated with another device, e.g. built-in diode making integrated circuits H01L21/82 H01L29/66378the other device being a controlling field-effect device H01L29/66386Bidirectional thyristors H01L29/66393Lateral or planar thyristors H01L29/66401with an active layer made of a group 13/15 material H01L29/66409Unipolar field-effect transistors H01L29/66416Static induction transistors [SIT] with an active layer made of a group 13/15 material H01L29/66454 H01L29/66424Permeable base transistors [PBT] H01L29/66431with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT with an active layer made of a group 13/15 material H01L29/66462 H01L29/66439with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor with an active layer made of a group 13/15 material H01L29/66469 H01L29/66446with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] H01L29/66454Static induction transistors [SIT], e.g. permeable base transistors [PBT] H01L29/66462with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT H01L29/66469with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors H01L29/66477with an insulated gate, i.e. MISFET H01L29/66484with multiple gate, at least one gate being an insulated gate H01L29/66742 takes precedence H01L29/66492with a pocket or a lightly doped drain selectively formed at the side of the gate H01L29/665using self aligned silicidation, i.e. salicide formation of conductive layers comprising silicides H01L21/28518 H01L29/66507providing different silicide thicknesses on the gate and on source or drain H01L29/66515using self aligned selective metal deposition simultaneously on the gate and on source or drain H01L29/66522with an active layer made of a group 13/15 material H01L29/66446 takes precedence H01L29/6653using the removal of at least part of spacer, e.g. disposable spacer H01L29/66537using a self aligned punch through stopper or threshold implant under the gate region H01L29/66606 takes precedence H01L29/66545using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate H01L29/66553using inside spacers, permanent or not H01L29/6656using multiple spacer layers, e.g. multiple sidewall spacers H01L29/66568Lateral single gate silicon transistors H01L29/66575where the source and drain or source and drain extensions are self-aligned to the sides of the gate H01L29/66606 takes precedence H01L29/66583with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts H01L29/6659with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET H01L29/66598forming drain [D] and lightly doped drain [LDD] simultaneously, e.g. using implantation through the wings a T-shaped layer, or through a specially shaped layer H01L29/66606with final source and drain contacts formation strictly before final or dummy gate formation, e.g. contact first technology H01L29/66621 takes precedence H01L29/66613with a gate recessing step, e.g. using local oxidation making recessed gate LDMOS transistors H01L29/66704 H01L29/66621using etching to form a recess at the gate location etching of semiconductor bodies H01L21/302 H01L29/66628recessing the gate by forming single crystalline semiconductor material at the source or drain location H01L29/66636with source or drain recessed by etching or first recessed by etching and then refilled H01L29/66643with source or drain regions formed by a Schottky barrier or a conductor-insulator-semiconductor structure H01L29/66651with a single crystalline channel formed on the silicon substrate after insulating device isolation H01L29/66659with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs H01L29/66666Vertical transistors H01L29/66712, H01L29/66742 take precedence H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region making lateral high-voltage MISFETs with channel well and drain offset region H01L29/66659 H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors H01L29/66689with a step of forming an insulating sidewall spacer forming insulating material on a substrate H01L21/02107 H01L29/66696with a step of recessing the source electrode H01L29/66704with a step of recessing the gate electrode, e.g. to form a trench gate electrode H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors H01L29/66719With a step of forming an insulating sidewall spacer H01L29/66727with a step of recessing the source electrode H01L29/66734with a step of recessing the gate electrode, e.g. to form a trench gate electrode H01L29/66742Thin film unipolar transistors H01L29/6675Amorphous silicon or polysilicon transistors H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates H01L29/66666 takes precedence; thin film FinFETs H01L29/66795 H01L29/6678on sapphire substrates, e.g. SOS transistors H01L29/66787with a gate at the side of the channel H01L29/66795with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET H01L29/66803with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants H01L29/6681using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability H01L29/66818the channel being thinned after patterning, e.g. sacrificial oxidation on fin H01L29/66825with a floating gate H01L29/6684 takes precedence H01L29/66833with a charge trapping gate insulator, e.g. MNOS transistors H01L29/6684with a ferroelectric gate insulator H01L29/66848with a Schottky gate, i.e. MESFET H01L29/66856with an active layer made of a group 13/15 material H01L29/66446 takes precedence H01L29/66863Lateral single gate transistors H01L29/66871Processes wherein the final gate is made after the formation of the source and drain regions in the active layer, e.g. dummy-gate processes H01L29/66878Processes wherein the final gate is made before the formation, e.g. activation anneal, of the source and drain regions in the active layer H01L29/66886Lateral transistors with two or more independent gates H01L29/66893with a PN junction gate, i.e. JFET H01L29/66901with a PN homojunction gate H01L29/66909Vertical transistors, e.g. tecnetrons H01L29/66916with a PN heterojunction gate H01L29/66924with an active layer made of a group 13/15 material H01L29/66446 takes precedence H01L29/66931BJT-like unipolar transistors, e.g. hot electron transistors [HET], metal base transistors [MBT], resonant tunneling transistor [RTT], bulk barrier transistor [BBT], planar doped barrier transistor [PDBT], charge injection transistor [CHINT] H01L29/66939with an active layer made of a group 13/15 material H01L29/66946Charge transfer devices H01L29/66954with an insulated gate H01L29/66962with a Schottky gate H01L29/66969of devices having semiconductor bodies not comprising group 14 or group 13/15 materials comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials, comprising cuprous oxide or cuprous iodide H01L21/02365 H01L29/66977Quantum effect devices, e.g. using quantum reflection, diffraction or interference effects, i.e. Bragg- or Aharonov-Bohm effects H01L29/66984Devices using spin polarized carriers H01L29/66992controllable only by the variation of applied heat controllable by IR radiation H01L31/00; measuring quantity of heat G01K17/00 H01L29/68controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched H01L29/685Hi-Lo semiconductor devices, e.g. memory devices H01L29/70Bipolar devices H01L29/705Double base diodes H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals H01L29/73Bipolar junction transistors H01L29/7302structurally associated with other devices assemblies of devices H01L25/00; integrated circuits H01L27/00; IGBT H01L29/7393 H01L29/7304the device being a resistive element, e.g. ballasting resistor transistors integrated with resistors H01L27/075 H01L29/7306Point contact transistors H01L29/7308Schottky transistors H01L29/7311Tunnel transistors H01L29/7313Avalanche transistors H01L29/7315Transistors with hook collector H01L29/7317Bipolar thin film transistors H01L29/732Vertical transistors H01L29/7322having emitter-base and base-collector junctions leaving at the same surface of the body, e.g. planar transistor H01L29/7325having an emitter-base junction leaving at a main surface and a base-collector junction leaving at a peripheral surface of the body, e.g. mesa planar transistor H01L29/7327Inverse vertical transistors H01L29/735Lateral transistors H01L29/737Hetero-junction transistors H01L29/7371Vertical transistors H01L29/7373having a two-dimensional base, e.g. modulation-doped base, inversion layer base, delta-doped base H01L29/7375having an emitter comprising one or more non-monocrystalline elements of group IV, e.g. amorphous silicon, alloys comprising group IV elements H01L29/7376Resonant tunnelling transistors H01L29/7378comprising lattice mismatched active layers, e.g. SiGe strained layer transistors H01L29/739controlled by field-effect, e.g. bipolar static induction transistors [BSIT] unijunction transistors H01L29/705 H01L29/7391Gated diode structures H01L29/7392with PN junction gate, e.g. field controlled thyristors (FCTh), static induction thyristors (SITh) H01L29/7393Insulated gate bipolar mode transistors, i.e. IGBT; IGT; COMFET H01L29/7394on an insulating layer or substrate, e.g. thin film device or device isolated from the bulk substrate H01L29/7398 takes precedence H01L29/7395Vertical transistors, e.g. vertical IGBTThe transistor is called vertical if the emitter and the collector are not on the same main surface or, if they are on the same main surface, at least a part of the main current has a component substantially not parallel to the main surface H01L29/7396with a non planar surface, e.g. with a non planar gate or with a trench or recess or pillar in the surface of the emitter, base or collector region for improving current density or short circuiting the emitter and base regions H01L29/7398 takes precedence H01L29/7397and a gate structure lying on a slanted or vertical surface or formed in a groove, e.g. trench gate IGBT H01L29/7398with both emitter and collector contacts in the same substrate side H01L29/74Thyristor-type devices, e.g. having four-zone regenerative action two-terminal thyristors H01L29/87 H01L29/7404structurally associated with at least one other device assemblies H01L25/00; integrated circuits H01L27/00 H01L29/7408the device being a capacitor or a resistor H01L29/7412the device being a diode H01L29/7416the device being an antiparallel diode, e.g. RCT shorted anode structures enabling reverse conduction H01L29/0834 H01L29/742the device being a field effect transistor for turn-on or turn-off by field effect H01L29/745, H01L29/749 H01L29/7424having a built-in localised breakdown/breakover region, e.g. self-protected against destructive spontaneous, e.g. voltage breakover, firing H01L29/7428having an amplifying gate structure, e.g. cascade (Darlington) configuration H01L29/7432Asymmetrical thyristors with a particular shorted anode structure H01L29/0834 H01L29/7436Lateral thyristors H01L29/744Gate-turn-off devices H01L29/745with turn-off by field effect H01L29/7455produced by an insulated gate structure H01L29/747Bidirectional devices, e.g. triacs H01L29/749with turn-on by field effect H01L29/76Unipolar devices , e.g. field effect transistors H01L29/7606Transistor-like structures, e.g. hot electron transistor [HET]; metal base transistor [MBT] H01L29/7613Single electron transistors; Coulomb blockade devices H01L29/7888 takes precedence H01L29/762Charge transfer devices H01L29/765Charge-coupled devices peripheral circuits for CCD storage devices G11C19/285 H01L29/768with field effect produced by an insulated gate H01L29/76808Input structures H01L29/76816Output structures H01L29/76825Structures for regeneration, refreshing, leakage compensation or the like H01L29/76833Buried channel CCD H01L29/76841Two-Phase CCD H01L29/7685Three-Phase CCD H01L29/76858Four-Phase CCD H01L29/76866Surface Channel CCD H01L29/76875Two-Phase CCD H01L29/76883Three-Phase CCD H01L29/76891Four-Phase CCD H01L29/772Field effect transistors H01L29/7722using static field induced regions, e.g. SIT, PBT H01L29/7725with delta-doped channel H01L29/778 takes precedence H01L29/7727Velocity modulation transistors, i.e. VMT H01L29/775with one dimensional charge carrier gas channel, e.g. quantum wire FET H01L29/778with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface H01L29/803 takes precedence H01L29/7781with inverted single heterostructure, i.e. with active layer formed on top of wide bandgap layer, e.g. IHEMT H01L29/7782with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET H01L29/7783using III-V semiconductor material H01L29/7784with delta or planar doped donor layer H01L29/7785 takes precedence H01L29/7785with more than one donor layer H01L29/7786with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT H01L29/7787with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET H01L29/7788Vertical transistors H01L29/7789the two-dimensional charge carrier gas being at least partially not parallel to a main surface of the semiconductor body H01L29/78with field effect produced by an insulated gate H01L29/7725, H01L29/775, H01L29/778 take precedence H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region lateral high-voltage MISFETs with channel well and drain offset region H01L29/7835 H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors H01L29/7803structurally associated with at least one other device assemblies H01L25/00; integrated circuits H01L27/00Groups H01L29/7803H01L29/7808 are incomplete pending reclassification of documents from group H01L29/7802.
Groups H01L29/7803H01L29/7808 and H01L29/7802 should be considered in order to perform a complete search.
H01L29/7804the other device being a pn-junction diode H01L29/7805in antiparallel, e.g. freewheel diode H01L29/7806the other device being a Schottky barrier diode H01L29/7808the other device being a breakdown diode, e.g. Zener diode
H01L29/7809having both source and drain contacts on the same surface, i.e. Up-Drain VDMOS transistors H01L29/781Inverted VDMOS transistors, i.e. Source-Down VDMOS transistors H01L29/7811with an edge termination structure guard regions per se H01L29/0619; field plates per se H01L29/402Group H01L29/7811 is incomplete pending reclassification of documents from group H01L29/7802.
Groups H01L29/7811 and H01L29/7802 should be considered in order to perform a complete search.
H01L29/7812with a substrate comprising an insulating layer, e.g. SOI-VDMOS transistors H01L29/7813with trench gate electrode, e.g. UMOS transistors trench gate electrodes per se H01L29/4236 H01L29/7815with voltage or current sensing structure, e.g. emulator section, overcurrent sensing cellGroup H01L29/7815 is incomplete pending reclassification of documents from group H01L29/7802.
Groups H01L29/7815 and H01L29/7802 should be considered in order to perform a complete search.
H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors H01L29/7817structurally associated with at least one other device assemblies H01L25/00; integrated circuits H01L27/00 H01L29/7818the other device being a pn-junction diode H01L29/7819in antiparallel, e.g. freewheel diode H01L29/782the other device being a Schottky barrier diode H01L29/7821the other device being a breakdown diode, e.g. Zener diode H01L29/7823with an edge termination structure guard regions per se H01L29/0619; field plates per se H01L29/402 H01L29/7824with a substrate comprising an insulating layer, e.g. SOI-LDMOS transistors H01L29/7825with trench gate electrode trench gate electrodes per se H01L29/4236 H01L29/7826with voltage or current sensing structure, e.g. emulator section, overcurrent sensing cell
H01L29/7827Vertical transistors H01L29/7802, H01L29/78642 take precedence H01L29/7828without inversion channel, e.g. vertical ACCUFETs, normally-on vertical MISFETs H01L29/783comprising a gate to body connection, i.e. bulk dynamic threshold voltage MOSFET for thin film transistors H01L29/78612, H01L29/78696 H01L29/7831with multiple gate structure FinFETs or MuGFETs H01L29/7855, thin film transistors H01L29/78645 H01L29/7832the structure comprising a MOS gate and at least one non-MOS gate, e.g. JFET or MESFET gate H01L29/7833with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's for thin film transistors H01L29/78618 H01L29/7834with a non-planar structure, e.g. the gate or the source or the drain being non-planarField oxide sunken in the substrate and not filling a groove is not an element characterising a non-planar structure H01L29/7835with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs H01L29/7836with a significant overlap between the lightly doped extension and the gate electrode H01L29/7834, H01L29/7835 take precedence H01L29/7838without inversion channel, e.g. buried channel lateral MISFETs, normally-on lateral MISFETs, depletion-mode lateral MISFETs H01L29/7839with Schottky drain or source contact H01L29/78391the gate comprising a layer which is used for its ferroelectric properties H01L29/7841with floating body, e.g. programmable transistors H01L29/7842means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate variation of the composition of the channel H01L29/1054 H01L29/7843the means being an applied insulating layer H01L29/7845the means being a conductive material, e.g. silicided S/D or Gate H01L29/7846the means being located in the lateral device isolation region, e.g. STI H01L29/7847using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region H01L29/7848the means being located in the source/drain region, e.g. SiGe source and drain H01L29/7849the means being provided under the channel H01L29/785having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET H01L29/7851with the body tied to the substrate H01L29/7853the body having a non-rectangular crossection H01L29/7854with rounded corners H01L29/7855with at least two independent gates H01L29/7856with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides H01L29/7855 takes precedence H01L2029/7857of the accumulation type H01L2029/7858having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film transistors having only the source or the drain region on an insulator layer H01L29/0653; thin film FinFETs H01L29/785In groups H01L29/78651 - H01L29/78696, the materials specified for the transistors are the material of the channel region H01L29/78603characterised by the insulating substrate or support H01L29/78657 takes precedence H01L29/78606with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device H01L29/78642, H01L29/78645 take precedence H01L29/78609for preventing leakage current H01L29/78618 takes precedence H01L29/78612for preventing the kink- or the snapback effect, e.g. discharging the minority carriers of the channel region for preventing bipolar effect H01L29/78615with a body contact H01L29/78618characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure silicide contacts, electrodes in general H01L29/458 H01L29/78621with LDD structure or an extension or an offset region or characterised by the doping profile H01L29/78624the source and the drain regions being asymmetrical H01L29/78627with a significant overlap between the lightly doped drain and the gate electrode, e.g. GOLDD H01L2029/7863with an LDD consisting of more than one lightly doped zone or having a non-homogeneous dopant distribution, e.g. graded LDD H01L29/78633with a light shield H01L29/78636with supplementary region or layer for improving the flatness of the device H01L29/78639with a drain or source connected to a bulk conducting substrate H01L29/78642Vertical transistors H01L29/78645with multiple gate H01L29/78648arranged on opposing sides of the channel H01L29/78651Silicon transistors H01L29/78606 - H01L29/78645 take precedence H01L29/78654Monocrystalline silicon transistors H01L29/78657SOS transistors H01L29/7866Non-monocrystalline silicon transistors H01L29/78663Amorphous silicon transistors H01L29/78666with normal-type structure, e.g. with top gate H01L29/78669with inverted-type structure, e.g. with bottom gate H01L29/78672Polycrystalline or microcrystalline silicon transistor H01L29/78675with normal-type structure, e.g. with top gate H01L29/78678with inverted-type structure, e.g. with bottom gate H01L29/78681having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te H01L29/78684having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys H01L29/7869 takes precedence H01L29/78687with a multilayer structure or superlattice structure H01L29/7869having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate H01L29/78693the semiconducting oxide being amorphous H01L29/78696characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel H01L29/78612 takes precedence; transistors having a drain offset region or a lightly doped drain [LDD] H01L29/78621 H01L29/788with floating gate H01L29/78391 takes precedence H01L29/7881Programmable transistors with only two possible levels of programmation H01L29/7888 takes precedence H01L29/7882charging by injection of carriers through a conductive insulator, e.g. Poole-Frankel conduction H01L29/7883charging by tunnelling of carriers, e.g. Fowler-Nordheim tunnelling H01L29/7884charging by hot carrier injection H01L29/7885Hot carrier injection from the channel H01L29/7886Hot carrier produced by avalanche breakdown of a PN junction, e.g. FAMOS H01L29/7887Programmable transistors with more than two possible different levels of programmation H01L29/7888Transistors programmable by two single electrons H01L29/7889Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane H01L29/792with charge trapping gate insulator, e.g. MNOS-memory transistors H01L29/7923Programmable transistors with more than two possible different levels of programmation H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
H01L29/80with field effect produced by a PN or other rectifying junction gate , i.e. potential-jump barrier H01L29/802with heterojunction gate, e.g. transistors with semiconductor layer acting as gate insulating layer, MIS-like transistors H01L29/806 takes precedence; with one dimensional electron gas H01L29/775; with dimensional electron gas H01L29/778 H01L29/803Programmable transistors, e.g. with charge-trapping quantum well H01L29/806with Schottky drain or source contact H01L29/808with a PN junction gate , e.g. PN homojunction gate H01L29/7725, H01L29/775, H01L29/778, H01L29/806 take precedence H01L29/8083Vertical transistors SIT H01L29/7722 H01L29/8086Thin film JFET's H01L29/812with a Schottky gate H01L29/7725, H01L29/775, H01L29/778, H01L29/806 take precedence; with Schottky contact on top of heterojunction gate H01L29/802 H01L29/8122Vertical transistors SIT, PBT H01L29/7722 H01L29/8124with multiple gate H01L29/8126Thin film MESFET's H01L29/8128with recessed gate
H01L29/82controllable by variation of the magnetic field applied to the device H01L29/84controllable by variation of applied mechanical force, e.g. of pressure H01L29/86controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched H01L29/8605Resistors with PN junctions H01L29/861Diodes H01L29/8611Planar PN junction diodes H01L29/8613Mesa PN junction diodes H01L29/8615Hi-lo semiconductor devices, e.g. memory devices H01L29/8616Charge trapping diodes H01L29/8618Diodes with bulk potential barrier, e.g. Camel diodes, Planar Doped Barrier diodes, Graded bandgap diodes H01L29/862Point contact diodes H01L29/864Transit-time diodes, e.g. IMPATT, TRAPATT diodes H01L29/866Zener diodes H01L29/868PIN diodes H01L29/87Thyristor diodes, e.g. Shockley diodes, break-over diodes H01L29/872Schottky diodes H01L29/8725of the trench MOS barrier type [TMBS] H01L29/88Tunnel-effect diodes H01L29/882Resonant tunneling diodes, i.e. RTD, RTBD H01L29/885Esaki diodes H01L29/92Capacitors with potential-jump barrier or surface barrier H01L29/93Variable capacitance diodes, e.g. varactors H01L29/94Metal-insulator-semiconductors, e.g. MOS H01L29/945Trench capacitors
H01L31/00Semiconductor devices sensitive to infra-red radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiationProcesses or apparatus specially adapted for the manufacture or treatment thereof or of parts thereofDetails thereof H10K30/00 takes precedence; devices consisting of a plurality of solid state components formed in, or on, a common substrate, other than combinations of radiation-sensitive components with one or more electric light sources, H01L27/00 H01L31/02Details H01L31/02002Arrangements for conducting electric current to or from the device in operations H01L31/02005for device characterised by at least one potential jump barrier or surface barrier H01L31/02008for solar cells or solar cell modules H01L31/0201comprising specially adapted module bus-bar structures H01L31/02013comprising output lead wires elements H01L31/02016Circuit arrangements of general character for the devices H01L31/02019for devices characterised by at least one potential jump barrier or surface barrier H01L31/02021for solar cells electrical connection means, e.g. junction boxes, specially adapted for structural association with photovoltaic modules H02S40/34 H01L31/02024Position sensitive and lateral effect photodetectors; Quadrant photodiodes H01L31/02027for devices working in avalanche mode H01L31/0203ContainersEncapsulations , e.g. encapsulation of photodiodesfor photovoltaic devices H01L31/048; for organic photosensitive devices H10K30/80 H01L31/0216Coatings H01L31/041 takes precedence H01L31/02161for devices characterised by at least one potential jump barrier or surface barrier H01L31/02162for filtering or shielding light, e.g. multicolour filters for photodetectors H01L31/02164for shielding light, e.g. light blocking layers, cold shields for infra-red detectors H01L31/02165using interference filters, e.g. multilayer dielectric filters interference filters G02B5/28 H01L31/02167for solar cells H01L31/02168the coatings being antireflective or having enhancing optical properties for the solar cells H01L31/0224Electrodes H01L31/022408for devices characterised by at least one potential jump barrier or surface barrier H01L31/022416comprising ring electrodes H01L31/022425for solar cells H01L31/022433Particular geometry of the grid contacts H01L31/022441Electrode arrangements specially adapted for back-contact solar cells H01L31/02245for metallisation wrap-through [MWT] type solar cells H01L31/022458for emitter wrap-through [EWT] type solar cells, e.g. interdigitated emitter-base back-contacts H01L31/022466made of transparent conductive layers, e.g. TCO, ITO layers H01L31/022475composed of indium tin oxide [ITO] H01L31/022483composed of zinc oxide [ZnO] H01L31/022491composed of a thin transparent metal layer, e.g. gold H01L31/0232Optical elements or arrangements associated with the device H01L31/0236 takes precedence; for photovoltaic cells H01L31/054; for photovoltaic modules H02S40/20 H01L31/02322comprising luminescent members, e.g. fluorescent sheets upon the device H01L31/02325the optical elements not being integrated nor being directly associated with the device H01L31/02327the optical elements being integrated or being directly associated to the device, e.g. back reflectors optical coatings H01L31/0216 H01L31/0236Special surface textures H01L31/02363of the semiconductor body itself, e.g. textured active layers H01L31/02366of the substrate or of a layer on the substrate, e.g. textured ITO/glass substrate or superstrate, textured polymer layer on glass substrate H01L31/024Arrangements for cooling, heating, ventilating or temperature compensation for photovoltaic devices H01L31/052 H01L31/0248characterised by their semiconductor bodies H01L31/0256characterised by the material H01L31/0264Inorganic materials H01L31/0272Selenium or tellurium H01L31/02725characterised by the doping material H01L31/028including, apart from doping material or other impurities, only elements of Group IV of the Periodic System H01L31/0284comprising porous silicon as part of the active layer(s) porous silicon as antireflective layer for photodiodes H01L31/0216; for solar cells H01L31/02168 H01L31/0288characterised by the doping material H01L31/0296including, apart from doping material or other impurities, only AIIBVI compounds, e.g. CdS, ZnS, HgCdTe H01L31/02963characterised by the doping material H01L31/02966including ternary compounds, e.g. HgCdTe H01L31/0304including, apart from doping materials or other impurities, only AIIIBV compounds H01L31/03042characterised by the doping material H01L31/03044comprising a nitride compounds, e.g. GaN H01L31/03046including ternary or quaternary compounds, e.g. GaAlAs, InGaAs, InGaAsP H01L31/03048comprising a nitride compounds, e.g. InGaN H01L31/0312including, apart from doping materials or other impurities, only AIVBIV compounds, e.g. SiC H01L31/03125characterised by the doping material H01L31/032including, apart from doping materials or other impurities, only compounds not provided for in groups H01L31/0272 - H01L31/0312 H01L31/0321characterised by the doping material H01L31/0323, H01L31/0325 take precedence H01L31/0322comprising only AIBIIICVI chalcopyrite compounds, e.g. Cu In Se2, Cu Ga Se2, Cu In Ga Se2 H01L31/0323characterised by the doping material H01L31/0324comprising only AIVBVI or AIIBIVCVI chalcogenide compounds, e.g. Pb Sn Te H01L31/0325characterised by the doping material H01L31/0326comprising AIBIICIVDVI kesterite compounds, e.g. Cu2ZnSnSe4, Cu2ZnSnS4 H01L31/0327characterised by the doping material H01L31/0328including, apart from doping materials or other impurities, semiconductor materials provided for in two or more of groups H01L31/0272 - H01L31/032 H01L31/0336in different semiconductor regions, e.g. Cu2X/CdX hetero-junctions, X being an element of Group VI of the Periodic System H01L31/03365comprising only Cu2X / CdX heterojunctions, X being an element of Group VI of the Periodic System H01L2031/0344Organic materials H01L31/0352characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions H01L31/035209comprising a quantum structures H01L31/035218the quantum structure being quantum dots H01L31/035227the quantum structure being quantum wires, or nanorods carbon nanotubes H10K85/211 H01L31/035236Superlattices; Multiple quantum well structures H01L31/035245characterised by amorphous semiconductor layers H01L31/035254including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System, e.g. Si-SiGe superlattices H01L31/035263Doping superlattices, e.g. nipi superlattices H01L31/035272characterised by at least one potential jump barrier or surface barrier H01L31/035281Shape of the body H01L31/03529Shape of the potential jump barrier or surface barrier H01L31/036characterised by their crystalline structure or particular orientation of the crystalline planes H01L31/0368including polycrystalline semiconductors H01L31/0392 takes precedence H01L31/03682including only elements of Group IV of the Periodic System H01L31/03685including microcrystalline silicon, uc-Si H01L31/03687including microcrystalline AIVBIV alloys, e.g. uc-SiGe, uc-SiC H01L31/0376including amorphous semiconductors H01L31/0392 takes precedence H01L31/03762including only elements of Group IV of the Periodic System H01L31/03765including AIVBIV compounds or alloys, e.g. SiGe, SiC H01L31/03767presenting light-induced characteristic variations, e.g. Staebler-Wronski effect H01L31/0384including other non-monocrystalline materials, e.g. semiconductor particles embedded in an insulating material H01L31/0392 takes precedence H01L31/03845comprising semiconductor nanoparticles embedded in a semiconductor matrix in insulating matrix H01L31/0384 H01L31/0392including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate textured substrates H01L31/02366 H01L31/03921including only elements of Group IV of the Periodic System H01L31/03923including AIBIIICVI compound materials, e.g. CIS, CIGS H01L31/03925including AIIBVI compound materials, e.g. CdTe, CdS H01L31/03926comprising a flexible substrate H01L31/03928including AIBIIICVI compound, e.g. CIS, CIGS deposited on metal or polymer foils H01L31/04adapted as photovoltaic [PV] conversion devices testing thereof during manufacture H01L22/00; testing thereof after manufacture H02S50/10 H01L31/041Provisions for preventing damage caused by corpuscular radiation, e.g. for space applications H01L31/042PV modules or arrays of single PV cells supporting structures for PV modules H02S20/00 H01L31/043Mechanically stacked PV cells H01L31/044including bypass diodes bypass diodes in the junction box H02S40/34 H01L31/0443comprising bypass diodes integrated or directly associated with the devices, e.g. bypass diodes integrated or formed in or on the same substrate as the photovoltaic cells H01L31/0445including thin film solar cells, e.g. single thin film a-Si, CIS or CdTe solar cells H01L31/046PV modules composed of a plurality of thin film solar cells deposited on the same substrate H01L31/0463characterised by special patterning methods to connect the PV cells in a module, e.g. laser cutting of the conductive or active layers H01L31/0465comprising particular structures for the electrical interconnection of adjacent PV cells in the module H01L31/0463 takes precedence H01L31/0468comprising specific means for obtaining partial light transmission through the module, e.g. partially transparent thin film solar modules for windows H01L31/047PV cell arrays including PV cells having multiple vertical junctions or multiple V-groove junctions formed in a semiconductor substrate H01L31/0475PV cell arrays made by cells in a planar, e.g. repetitive, configuration on a single semiconductor substratePV cell microarrays PV modules composed of a plurality of thin film solar cells deposited on the same substrate H01L31/046 H01L31/048Encapsulation of modules H01L31/0481characterised by the composition of the encapsulation material H01L31/0488Double glass encapsulation, e.g. photovoltaic cells arranged between front and rear glass sheets H01L31/049Protective back sheets H01L31/05Electrical interconnection means between PV cells inside the PV module, e.g. series connection of PV cells electrodes H01L31/0224; electrical interconnection of thin film solar cells formed on a common substrate H01L31/046; particular structures for electrical interconnecting of adjacent thin film solar cells in the module H01L31/0465; electrical interconnection means specially adapted for electrically connecting two or more PV modules H02S40/36 H01L31/0504specially adapted for series or parallel connection of solar cells in a module H01L31/0508the interconnection means having a particular shape H01L31/0512made of a particular material or composition of materials H01L31/0516specially adapted for interconnection of back-contact solar cells H01L31/052Cooling means directly associated or integrated with the PV cell, e.g. integrated Peltier elements for active cooling or heat sinks directly associated with the PV cells cooling means in combination with the PV module H02S40/42 H01L31/0521using a gaseous or a liquid coolant, e.g. air flow ventilation, water circulation H01L31/0525including means to utilise heat energy directly associated with the PV cell, e.g. integrated Seebeck elements H01L31/053Energy storage means directly associated or integrated with the PV cell, e.g. a capacitor integrated with a PV cell energy storage means associated with the PV module H02S40/38 H01L31/054Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means H01L31/0543comprising light concentrating means of the refractive type, e.g. lenses H01L31/0547comprising light concentrating means of the reflecting type, e.g. parabolic mirrors, concentrators using total internal reflection H01L31/0549comprising spectrum splitting means, e.g. dichroic mirrors H01L31/055where light is absorbed and re-emitted at a different wavelength by the optical element directly associated or integrated with the PV cell, e.g. by using luminescent material, fluorescent concentrators or up-conversion arrangements H01L31/056the light-reflecting means being of the back surface reflector [BSR] type H01L31/06characterised by at least one potential-jump barrier or surface barrier H01L31/061the potential barriers being of the point-contact type H01L31/07 takes precedence H01L31/062the potential barriers being only of the metal-insulator-semiconductor type H01L31/065the potential barriers being only of the graded gap type H01L31/068the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells H01L31/0682back-junction, i.e. rearside emitter, solar cells, e.g. interdigitated base-emitter regions back-junction cells H01L31/0684double emitter cells, e.g. bifacial solar cells H01L31/0687Multiple junction or tandem solar cells H01L31/06875inverted grown metamorphic [IMM] multiple junction solar cells, e.g. III-V compounds inverted metamorphic multi-junction cells H01L31/0693the devices including, apart from doping material or other impurities, only AIIIBV compounds, e.g. GaAs or InP solar cells H01L31/07the potential barriers being only of the Schottky type H01L31/072the potential barriers being only of the PN heterojunction type H01L31/0725Multiple junction or tandem solar cells H01L31/073comprising only AIIBVI compound semiconductors, e.g. CdS/CdTe solar cells H01L31/0735comprising only AIIIBV compound semiconductors, e.g. GaAs/AlGaAs or InP/GaInAs solar cells H01L31/074comprising a heterojunction with an element of Group IV of the Periodic System, e.g. ITO/Si, GaAs/Si or CdTe/Si solar cells H01L31/0745comprising a AIVBIV heterojunction, e.g. Si/Ge, SiGe/Si or Si/SiC solar cells H01L31/0747comprising a heterojunction of crystalline and amorphous materials, e.g. heterojunction with intrinsic thin layer or HIT® solar cellssolar cells H01L31/0749including a AIBIIICVI compound, e.g. CdS/CulnSe2 [CIS] heterojunction solar cells H01L31/075the potential barriers being only of the PIN type H01L31/076Multiple junction or tandem solar cells H01L31/077the devices comprising monocrystalline or polycrystalline materials H01L31/078including different types of potential barriers provided for in two or more of groups H01L31/062 - H01L31/075 H01L31/08in which radiation controls flow of current through the device, e.g. photoresistors H01L31/085the device being sensitive to very short wavelength, e.g. X-ray, Gamma-rays H01L31/09Devices sensitive to infra-red, visible or ultraviolet radiation H01L31/101 takes precedence H01L31/095comprising amorphous semiconductors H01L31/10characterised by at least one potential-jump barrier or surface barrier, e.g. phototransistors H01L31/101Devices sensitive to infra-red, visible or ultra-violet radiation H01L31/1013devices sensitive to two or more wavelengths, e.g. multi-spectrum radiation detection devices H01L31/1016comprising transparent or semitransparent devices H01L31/102characterised by only one potential barrier or surface barrier H01L31/1025the potential barrier being of the point contact type H01L31/103the potential barrier being of the PN homojunction type H01L31/1032the devices comprising active layers formed only by AIIBVI compounds, e.g. HgCdTe IR photodiodes H01L31/1035the devices comprising active layers formed only by AIIIBV compounds H01L31/1037the devices comprising active layers formed only by AIVBVI compounds H01L31/105the potential barrier being of the PIN type H01L31/1055the devices comprising amorphous materials of Group IV of the Periodic System H01L31/107the potential barrier working in avalanche mode, e.g. avalanche photodiode H01L31/1075in which the active layers, e.g. absorption or multiplication layers, form an heterostructure, e.g. SAM structure H01L31/108the potential barrier being of the Schottky type H01L31/1085the devices being of the Metal-Semiconductor-Metal [MSM] Schottky barrier type H01L31/109the potential barrier being of the PN heterojunction type H01L31/11characterised by two potential barriers or surface barriers, e.g. bipolar phototransistor H01L31/1105the device being a bipolar phototransistor H01L31/111characterised by at least three potential barriers, e.g. photothyristor H01L31/1113the device being a photothyristor H01L31/1116of the static induction type H01L31/112characterised by field-effect operation, e.g. junction field-effect phototransistor H01L31/1121Devices with Schottky gate H01L31/1122the device being a CCD device H01L31/1123the device being a photo MESFET H01L31/1124Devices with PN homojunction gate H01L31/1125the device being a CCD device H01L31/1126the device being a field-effect phototransistor H01L31/1127Devices with PN heterojunction gate H01L31/1128the device being a CCD device H01L31/1129the device being a field-effect phototransistor H01L31/113being of the conductor-insulator-semiconductor type, e.g. metal-insulator-semiconductor field-effect transistor H01L31/1133the device being a conductor-insulator-semiconductor diode or a CCD device H01L31/1136the device being a metal-insulator-semiconductor field-effect transistor H01L31/115Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation H01L31/117of the bulk effect radiation detector type, e.g. Ge-Li compensated PIN gamma-ray detectors H01L31/1175Li compensated PIN gamma-ray detectors H01L31/118of the surface barrier or shallow PN junction detector type, e.g. surface barrier alpha-particle detectors H01L31/1185of the shallow PN junction detector type H01L31/119characterised by field-effect operation, e.g. MIS type detectors H01L31/12structurally associated with, e.g. formed in or on a common substrate with, one or more electric light sources, e.g. electroluminescent light sources, and electrically or optically coupled thereto semiconductor devices with at least one potential barrier or surface barrier adapted for light emission H01L33/00; amplifiers using electroluminescent element and photocell H03F17/00; electroluminescent light sources per se H05B33/00 H01L31/125Composite devices with photosensitive elements and electroluminescent elements within one single body H01L31/14the light source or sources being controlled by the semiconductor device sensitive to radiation, e.g. image converters, image amplifiers or image storage devices H01L31/141the semiconductor device sensitive to radiation being without a potential-jump barrier or surface barrier H01L31/143the light source being a semiconductor device with at least one potential-jump barrier or surface barrier, e.g. light emitting diode H01L31/145the semiconductor device sensitive to radiation being characterised by at least one potential-jump barrier or surface barrier H01L31/147the light sources and the devices sensitive to radiation all being semiconductor devices characterised by at least one potential or surface barrier H01L31/153formed in, or on, a common substrate H01L31/16the semiconductor device sensitive to radiation being controlled by the light source or sources H01L31/161Semiconductor device sensitive to radiation without a potential-jump or surface barrier, e.g. photoresistors H01L31/162the light source being a semiconductor device with at least one potential-jump barrier or surface barrier, e.g. a light emitting diode H01L31/164Optical potentiometers H01L31/165the semiconductor sensitive to radiation being characterised by at least one potential-jump or surface barrier H01L31/167the light sources and the devices sensitive to radiation all being semiconductor devices characterised by at least one potential or surface barrier H01L31/173formed in, or on, a common substrate H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof H01L31/1804comprising only elements of Group IV of the Periodic System H01L31/1808including only Ge H01L31/1812including only AIVBIV alloys, e.g. SiGe H01L31/1816Special manufacturing methods for microcrystalline layers, e.g. uc-SiGe, uc-SiC H01L31/182Special manufacturing methods for polycrystalline Si, e.g. Si ribbon, poly Si ingots, thin films of polycrystalline Si H01L31/1824Special manufacturing methods for microcrystalline Si, uc-Si H01L31/1828the active layers comprising only AIIBVI compounds, e.g. CdS, ZnS, CdTe H01L31/1832comprising ternary compounds, e.g. Hg Cd Te H01L31/1836comprising a growth substrate not being an AIIBVI compound H01L31/184the active layers comprising only AIIIBV compounds, e.g. GaAs, InP H01L31/1844comprising ternary or quaternary compounds, e.g. Ga Al As, In Ga As P H01L31/1848comprising nitride compounds, e.g. InGaN, InGaAlN H01L31/1852comprising a growth substrate not being an AIIIBV compound H01L31/1856comprising nitride compounds, e.g. GaN H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation H01L31/1864Annealing H01L31/1868Passivation H01L31/1872Recrystallisation H01L31/1876Particular processes or apparatus for batch treatment of the devices H01L31/188Apparatus specially adapted for automatic interconnection of solar cells in a module H01L31/1884Manufacture of transparent electrodes, e.g. TCO, ITO H01L31/1888methods for etching transparent electrodes H01L31/1892methods involving the use of temporary, removable substrates H01L31/1896for thin-film semiconductors H01L31/20such devices or parts thereof comprising amorphous semiconductor materials H01L31/202including only elements of Group IV of the Periodic System H01L31/204including AIVBIV alloys, e.g. SiGe, SiC H01L31/206Particular processes or apparatus for continuous treatment of the devices, e.g. roll-to roll processes, multi-chamber deposition H01L31/208Particular post-treatment of the devices, e.g. annealing, short-circuit elimination H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emissionProcesses or apparatus specially adapted for the manufacture or treatment thereof or of parts thereofDetails thereof H10K50/00 takes precedence; devices consisting of a plurality of semiconductor components formed in or on a common substrate and including semiconductor components with at least one potential-jump barrier or surface barrier, specially adapted for light emission H01L27/15; semiconductor lasers H01S5/00This group covers light-emitting diodes [LED] or superluminescent diodes [SLD], which emit visible light, infrared [IR] light or ultraviolet [UV] light. In this group, the first place priority rule is applied, i.e. at each hierarchical level, in the absence of an indication to the contrary, classification is made in the first appropriate place. H01L33/0004Devices characterised by their operation H01L33/0008having p-n or hi-lo junctions H01L33/0012p-i-n devices H01L33/0016having at least two p-n junctions H01L33/002having heterojunctions or graded gap H01L33/0025comprising only AIIIBV compounds H01L33/0029comprising only AIIBVI compounds H01L33/0033having Schottky barriers H01L33/0037having a MIS barrier layer H01L33/0041characterised by field-effect operation H01L33/0045the devices being superluminescent diodes H01L33/005Processes H01L33/0054for devices with an active region comprising only group IV elements H01L33/0058comprising amorphous semiconductors H01L33/0062for devices with an active region comprising only III-V compounds H01L33/0066with a substrate not being a III-V compound H01L33/007comprising nitride compounds H01L33/0075comprising nitride compounds H01L33/0083for devices with an active region comprising only II-VI compounds H01L33/0087with a substrate not being a II-VI compound H01L33/0091for devices with an active region comprising only IV-VI compounds H01L33/0093Wafer bonding; Removal of the growth substrate H01L33/0095Post-treatment of devices, e.g. annealing, recrystallisation or short-circuit elimination H01L33/02characterised by the semiconductor bodies H01L33/025Physical imperfections, e.g. particular concentration or distribution of impurities H01L33/04with a quantum effect structure or superlattice, e.g. tunnel junction H01L33/06within the light emitting region, e.g. quantum confinement structure or tunnel barrier H01L33/08with a plurality of light emitting regions, e.g. laterally discontinuous light emitting layer or photoluminescent region integrated within the semiconductor body H01L27/15 takes precedence H01L33/10with a light reflecting structure, e.g. semiconductor Bragg reflector H01L33/105with a resonant cavity structure H01L33/12with a stress relaxation structure, e.g. buffer layer H01L33/14with a carrier transport control structure, e.g. highly-doped semiconductor layer or current-blocking structure H01L33/145with a current-blocking structure H01L33/16with a particular crystal structure or orientation, e.g. polycrystalline, amorphous or porous H01L33/18within the light emitting region When classifying in this group, classification is also made in group H01L33/26 or one of its subgroups in order to identify the chemical composition of the light emitting region H01L33/20with a particular shape, e.g. curved or truncated substrate H01L33/22Roughened surfaces, e.g. at the interface between epitaxial layers H01L33/24of the light emitting region, e.g. non-planar junction H01L33/26Materials of the light emitting region H01L33/28containing only elements of group II and group VI of the periodic system H01L33/285characterised by the doping materials H01L33/30containing only elements of group III and group V of the periodic system H01L33/305characterised by the doping materials H01L33/32containing nitrogen H01L33/325characterised by the doping materials H01L33/34containing only elements of group IV of the periodic system H01L33/343characterised by the doping materials H01L33/346containing porous silicon H01L33/36characterised by the electrodes H01L33/38with a particular shape H01L33/382the electrode extending partially in or entirely through the semiconductor body H01L33/385the electrode extending at least partially onto a side surface of the semiconductor body H01L33/387with a plurality of electrode regions in direct contact with the semiconductor body and being electrically interconnected by another electrode layer H01L33/40Materials therefor H01L33/405Reflective materials H01L33/42Transparent materials H01L33/44characterised by the coatings, e.g. passivation layer or anti-reflective coating H01L33/46Reflective coating, e.g. dielectric Bragg reflector H01L33/465with a resonant cavity structure H01L33/48characterised by the semiconductor body packagesThis group covers elements in intimate contact with the semiconductor body or integrated with the package H01L33/483Containers H01L33/486adapted for surface mounting H01L33/50Wavelength conversion elements H01L33/501characterised by the materials, e.g. binder H01L33/502Wavelength conversion materials H01L33/504Elements with two or more wavelength conversion materials H01L33/505characterised by the shape, e.g. plate or foil H01L33/507the elements being in intimate contact with parts other than the semiconductor body or integrated with parts other than the semiconductor body H01L33/508having a non-uniform spatial arrangement or non-uniform concentration, e.g. patterned wavelength conversion layer, wavelength conversion layer with a concentration gradient of the wavelength conversion material H01L33/52Encapsulations H01L33/54having a particular shape H01L33/56Materials, e.g. epoxy or silicone resin H01L33/58Optical field-shaping elements H01L33/60Reflective elements H01L33/62Arrangements for conducting electric current to or from the semiconductor body, e.g. lead-frames, wire-bonds or solder balls H01L33/64Heat extraction or cooling elements H01L33/641characterized by the materials H01L33/642characterized by the shape H01L33/644in intimate contact or integrated with parts of the device other than the semiconductor body H01L33/645the elements being electrically controlled, e.g. Peltier elements H01L33/647the elements conducting electric current to or from the semiconductor body H01L33/648the elements comprising fluids, e.g. heat-pipes
H01L2221/00 H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00 H01L2221/10Applying interconnections to be used for carrying current between separate components within a device H01L2221/1005Formation and after-treatment of dielectrics H01L2221/101Forming openings in dielectrics H01L2221/1015for dual damascene structures H01L2221/1021Pre-forming the dual damascene structure in a resist layer H01L2221/1026the via being formed by burying a sacrificial pillar in the dielectric and removing the pillar H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric H01L2221/1036Dual damascene with different via-level and trench-level dielectrics H01L2221/1042the dielectric comprising air gaps H01L2221/1047the air gaps being formed by pores in the dielectric H01L2221/1052Formation of thin functional dielectric layers H01L2221/1057in via holes or trenches H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric H01L2221/1068Formation and after-treatment of conductors H01L2221/1073Barrier, adhesion or liner layers H01L2221/1078Multiple stacked thin films not being formed in openings in dielectrics H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers H01L2221/1089Stacks of seed layers H01L2221/1094Conducting structures comprising nanotubes or nanowires H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or componentsApparatus not specifically provided for elsewhere H01L2221/683for supporting or gripping H01L2221/68304using temporarily an auxiliary support H01L2221/68309Auxiliary support including alignment aids H01L2221/68313Auxiliary support including a cavity for storing a finished device, e.g. IC package, or a partly finished device, e.g. die, during manufacturing or mounting H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support H01L2221/68322Auxiliary support including means facilitating the selective separation of some of a plurality of devices from the auxiliary support H01L2221/68327used during dicing or grinding H01L2221/68331of passive members, e.g. die mounting substrate H01L2221/68336involving stretching of the auxiliary support post dicing H01L2221/6834used to protect an active side of a device or wafer H01L2221/68345used as a support during the manufacture of self supporting substrates H01L2221/6835used as a support during build up manufacturing of active devices H01L2221/68354used to support diced chips prior to mounting H01L2221/68359used as a support during manufacture of interconnect decals or build up layers H01L2221/68363used in a transfer process involving transfer directly from an origin substrate to a target substrate without use of an intermediate handle substrate H01L2221/68368used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate H01L2221/68372used to support a device or wafer when forming electrical connections thereto when forming bonding pads H01L24/03; when forming bump connectors H01L24/11; when forming layer connectors H01L24/27 H01L2221/68377with parts of the auxiliary support remaining in the finished device H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer H01L2221/68386Separation by peeling H01L2221/6839using peeling wedge or knife or bar H01L2221/68395using peeling wheel H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00 H01L2223/544Marks applied to semiconductor devices or parts H01L2223/54406comprising alphanumeric information H01L2223/54413comprising digital information, e.g. bar codes, data matrix H01L2223/5442comprising non digital, non alphanumeric information, e.g. symbols H01L2223/54426for alignment H01L2223/54433containing identification or tracking information H01L2223/5444for electrical read out H01L2223/54446Wireless electrical read out H01L2223/54453for use prior to dicing H01L2223/5446Located in scribe lines H01L2223/54466Located in a dummy or reference die H01L2223/54473for use after dicing H01L2223/5448Located on chip prior to dicing and remaining on chip after dicing H01L2223/54486Located on package parts, e.g. encapsulation, leads, package substrate H01L2223/54493Peripheral marks on wafers, e.g. orientation flats, notches, lot number H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for H01L2223/64Impedance arrangements H01L2223/66High-frequency adaptations H01L2223/6605High-frequency electrical connections H01L2223/6611Wire connections H01L2223/6616Vertical connections, e.g. vias H01L2223/6622Coaxial feed-throughs in active or passive substrates H01L2223/6627Waveguides, e.g. microstrip line, strip line, coplanar line H01L2223/6633Transition between different waveguide types H01L2223/6638Differential pair signal lines H01L2223/6644Packaging aspects of high-frequency amplifiers amplifiers per se H03F H01L2223/665Bias feed arrangements H01L2223/6655Matching arrangements, e.g. arrangement of inductive and capacitive components H01L2223/6661for passive devices passive components per se H01L28/00 H01L2223/6666for decoupling, e.g. bypass capacitors H01L2223/6672for integrated passive components, e.g. semiconductor device with passive components only integrated circuits with passive components only per se H01L27/01 H01L2223/6677for antenna, e.g. antenna included within housing of semiconductor device antennas per se H01Q H01L2223/6683for monolithic microwave integrated circuit [MMIC] H01L2223/6688Mixed frequency adaptations, i.e. for operation at different frequencies H01L2223/6694Optical signal interface included within high-frequency semiconductor device housing H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00 H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnectsManufacturing methods related thereto H01L2224/02Bonding areasManufacturing methods related thereto H01L2224/0212Auxiliary members for bonding areas, e.g. spacers H01L2224/02122being formed on the semiconductor or solid-state body H01L2224/02123inside the bonding area H01L2224/02125Reinforcing structures H01L2224/02126Collar structures H01L2224/0213Alignment aids H01L2224/02135Flow barrier H01L2224/0214Structure of the auxiliary member H01L2224/02141Multilayer auxiliary member H01L2224/02145Shape of the auxiliary member H01L2224/0215Material of the auxiliary member H01L2224/02163on the bonding area H01L2224/02165Reinforcing structures H01L2224/02166Collar structures H01L2224/0217Alignment aids H01L2224/02175Flow barrier H01L2224/0218Structure of the auxiliary member H01L2224/02181Multilayer auxiliary member H01L2224/02185Shape of the auxiliary member H01L2224/0219Material of the auxiliary member H01L2224/022Protective coating, i.e. protective bond-through coating H01L2224/02205Structure of the protective coating H01L2224/02206Multilayer protective coating H01L2224/0221Shape of the protective coating H01L2224/02215Material of the protective coating H01L2224/02233not in direct contact with the bonding area H01L2224/02235Reinforcing structures H01L2224/0224Alignment aids H01L2224/02245Flow barrier H01L2224/0225Structure of the auxiliary member H01L2224/02251Multilayer auxiliary member H01L2224/02255Shape of the auxiliary member H01L2224/0226Material of the auxiliary member H01L2224/023Redistribution layers [RDL] for bonding areas H01L2224/0231Manufacturing methods of the redistribution layers H01L2224/02311Additive methods H01L2224/02313Subtractive methods H01L2224/02315Self-assembly processes H01L2224/02317by local deposition H01L2224/02319by using a preform H01L2224/02321Reworking H01L2224/0233Structure of the redistribution layers H01L2224/02331Multilayer structure H01L2224/02333being a bump H01L2224/02335Free-standing redistribution layers H01L2224/0235Shape of the redistribution layers H01L2224/02351comprising interlocking features H01L2224/0236Shape of the insulating layers therebetween H01L2224/0237Disposition of the redistribution layers H01L2224/02371connecting the bonding area on a surface of the semiconductor or solid-state body with another surface of the semiconductor or solid-state body H01L2224/02372connecting to a via connection in the semiconductor or solid-state body H01L2224/02373Layout of the redistribution layers H01L2224/02375Top view H01L2224/02377Fan-in arrangement H01L2224/02379Fan-out arrangement H01L2224/02381Side view H01L2224/0239Material of the redistribution layers H01L2224/024Material of the insulating layers therebetween H01L2224/03Manufacturing methods H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate H01L2224/03002for supporting the semiconductor or solid-state body H01L2224/03003for holding or transferring a preform H01L2224/03005for aligning the bonding area, e.g. marks, spacers H01L2224/03009for protecting parts during manufacture H01L2224/03011Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device, e.g. coating, dummy feature H01L2224/03013for holding or confining the bonding area, e.g. solder flow barrier H01L2224/03015for aligning the bonding area, e.g. marks, spacers H01L2224/03019for protecting parts during the process H01L2224/031Manufacture and pre-treatment of the bonding area preform H01L2224/0311Shaping H01L2224/0312Applying permanent coating H01L2224/033by local deposition of the material of the bonding area H01L2224/0331in liquid form H01L2224/03312Continuous flow, e.g. using a microsyringe, a pump, a nozzle or extrusion H01L2224/03318by dispensing droplets H01L2224/0332Screen printing, i.e. using a stencil H01L2224/0333in solid form H01L2224/03332using a powder H01L2224/03334using a preform H01L2224/034by blanket deposition of the material of the bonding area H01L2224/0341in liquid form H01L2224/03416Spin coating H01L2224/03418Spray coating H01L2224/0342Curtain coating H01L2224/03422by dipping, e.g. in a solder bath hot-dipping C23C2/00 H01L2224/03424Immersion coating, e.g. in a solder bath immersion processes C23C2/00 H01L2224/03426Chemical solution deposition [CSD], i.e. using a liquid precursor H01L2224/03428Wave coating H01L2224/0343in solid form H01L2224/03436Lamination of a preform, e.g. foil, sheet or layer H01L2224/03438the preform being at least partly pre-patterned H01L2224/0344by transfer printing H01L2224/03442using a powder H01L2224/03444in gaseous form H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD H01L2224/0346Plating H01L2224/03462Electroplating H01L2224/03464Electroless plating H01L2224/03466Conformal deposition, i.e. blanket deposition of a conformal layer on a patterned surface H01L2224/0347using a lift-off mask H01L2224/03472Profile of the lift-off mask H01L2224/03474Multilayer masks H01L2224/0348Permanent masks, i.e. masks left in the finished device, e.g. passivation layers H01L2224/035by chemical or physical modification of a pre-existing or pre-deposited material H01L2224/03502Pre-existing or pre-deposited material H01L2224/03505Sintering H01L2224/0351Anodisation H01L2224/03515Curing and solidification, e.g. of a photosensitive material H01L2224/0352Self-assembly, e.g. self-agglomeration of the material in a fluid H01L2224/03522Auxiliary means therefor, e.g. for self-assembly activation H01L2224/03524with special adaptation of the surface of the body to be connected or of an auxiliary substrate, e.g. surface shape specially adapted for the self-assembly process H01L2224/0355Selective modification H01L2224/03552using a laser or a focussed ion beam [FIB] H01L2224/03554Stereolithography, i.e. solidification of a pattern defined by a laser trace in a photosensitive resin H01L2224/036by patterning a pre-deposited material treatment of parts prior to assembly of the devices H01L21/48 H01L2224/03602Mechanical treatment, e.g. polishing, grinding H01L2224/0361Physical or chemical etching H01L2224/03612by physical means only H01L2224/03614by chemical means only H01L2224/03616Chemical mechanical polishing [CMP] H01L2224/03618with selective exposure, development and removal of a photosensitive material, e.g. of a photosensitive conductive resin H01L2224/0362Photolithography H01L2224/03622using masks H01L2224/0363using a laser or a focused ion beam [FIB] H01L2224/03632Ablation by means of a laser or focused ion beam [FIB] H01L2224/037involving monitoring, e.g. feedback loop H01L2224/038Post-treatment of the bonding area H01L2224/0381Cleaning, e.g. oxide removal step, desmearing H01L2224/0382Applying permanent coating, e.g. in-situ coating H01L2224/03821Spray coating H01L2224/03822by dipping, e.g. in a solder bath H01L2224/03823Immersion coating, e.g. in a solder bath H01L2224/03824Chemical solution deposition [CSD], i.e. using a liquid precursor H01L2224/03825Plating, e.g. electroplating, electroless plating H01L2224/03826Physical vapour deposition [PVD], e.g. evaporation, or sputtering H01L2224/03827Chemical vapour deposition [CVD], e.g. laser CVD H01L2224/03828Applying flux H01L2224/03829Applying a precursor material H01L2224/0383Reworking, e.g. shaping reflowing H01L2224/03849 H01L2224/03831involving a chemical process, e.g. etching the bonding area H01L2224/0384involving a mechanical process, e.g. planarising the bonding area H01L2224/03845Chemical mechanical polishing [CMP] H01L2224/03848Thermal treatments, e.g. annealing, controlled cooling H01L2224/03849Reflowing H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps H01L2224/03901with repetition of the same manufacturing step H01L2224/03902Multiple masking steps H01L2224/03903using different masks H01L2224/03906with modification of the same mask H01L2224/0391Forming a passivation layer after forming the bonding area H01L2224/03912the bump being used as a mask for patterning the bonding area H01L2224/03914the bonding area, e.g. under bump metallisation [UBM], being used as a mask for patterning other parts H01L2224/03916a passivation layer being used as a mask for patterning the bonding area H01L2224/0392specifically adapted to include a probing step H01L2224/03921by repairing the bonding area damaged by the probing step H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM] H01L2224/04026Bonding areas specifically adapted for layer connectors H01L2224/04034Bonding areas specifically adapted for strap connectors H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads H01L2224/0405Bonding areas specifically adapted for tape automated bonding [TAB] connectors H01L2224/04073Bonding areas specifically adapted for connectors of different types H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages H01L2224/05of an individual bonding area H01L2224/05001Internal layers H01L2224/05005Structure H01L2224/05006Dual damascene structure H01L2224/05007comprising a core and a coating H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g. H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body H01L2224/0501Shape H01L2224/05011comprising apertures or cavities H01L2224/05012in top view H01L2224/05013being rectangular H01L2224/05014being square H01L2224/05015being circular or elliptic H01L2224/05016in side view H01L2224/05017comprising protrusions or indentations H01L2224/05018being a conformal layer on a patterned surface H01L2224/05019being a non conformal layer on a patterned surface H01L2224/0502Disposition H01L2224/05022the internal layer being at least partially embedded in the surface H01L2224/05023the whole internal layer protruding from the surface H01L2224/05024the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body H01L2224/05025the internal layer being disposed on a via connection of the semiconductor or solid-state body H01L2224/05026the internal layer being disposed in a recess of the surface H01L2224/05027the internal layer extending out of an opening H01L2224/05073Single internal layer H01L2224/05075Plural internal layers H01L2224/05076being mutually engaged together, e.g. through inserts H01L2224/05078being disposed next to each other, e.g. side-to-side arrangements H01L2224/0508being stacked H01L2224/05082Two-layer arrangements H01L2224/05083Three-layer arrangements H01L2224/05084Four-layer arrangements H01L2224/05085with additional elements, e.g. vias arrays, interposed between the stacked layers H01L2224/05086Structure of the additional element H01L2224/05087being a via with at least a lining layer H01L2224/05088Shape of the additional element H01L2224/05089Disposition of the additional element H01L2224/0509of a single via H01L2224/05091at the center of the internal layers H01L2224/05092at the periphery of the internal layers H01L2224/05093of a plurality of vias H01L2224/05094at the center of the internal layers H01L2224/05095at the periphery of the internal layers H01L2224/05096Uniform arrangement, i.e. array H01L2224/05097Random arrangement H01L2224/05098Material of the additional element H01L2224/05099Material H01L2224/051with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/05101the principal constituent melting at a temperature of less than 400°C H01L2224/05105Gallium [Ga] as principal constituent H01L2224/05109Indium [In] as principal constituent H01L2224/05111Tin [Sn] as principal constituent H01L2224/05113Bismuth [Bi] as principal constituent H01L2224/05114Thallium [Tl] as principal constituent H01L2224/05116Lead [Pb] as principal constituent H01L2224/05117the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05118Zinc [Zn] as principal constituent H01L2224/0512Antimony [Sb] as principal constituent H01L2224/05123Magnesium [Mg] as principal constituent H01L2224/05124Aluminium [Al] as principal constituent H01L2224/05138the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05139Silver [Ag] as principal constituent H01L2224/05144Gold [Au] as principal constituent H01L2224/05147Copper [Cu] as principal constituent H01L2224/05149Manganese [Mn] as principal constituent H01L2224/05155Nickel [Ni] as principal constituent H01L2224/05157Cobalt [Co] as principal constituent H01L2224/0516Iron [Fe] as principal constituent H01L2224/05163the principal constituent melting at a temperature of greater than 1550°C H01L2224/05164Palladium [Pd] as principal constituent H01L2224/05166Titanium [Ti] as principal constituent H01L2224/05169Platinum [Pt] as principal constituent H01L2224/0517Zirconium [Zr] as principal constituent H01L2224/05171Chromium [Cr] as principal constituent H01L2224/05172Vanadium [V] as principal constituent H01L2224/05173Rhodium [Rh] as principal constituent H01L2224/05176Ruthenium [Ru] as principal constituent H01L2224/05178Iridium [Ir] as principal constituent H01L2224/05179Niobium [Nb] as principal constituent H01L2224/0518Molybdenum [Mo] as principal constituent H01L2224/05181Tantalum [Ta] as principal constituent H01L2224/05183Rhenium [Re] as principal constituent H01L2224/05184Tungsten [W] as principal constituent H01L2224/05186with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05187Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/05188 H01L2224/05188Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/0519with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/05191The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/05193with a principal constituent of the material being a solid not provided for in groups H01L2224/051 - H01L2224/05191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/05194with a principal constituent of the material being a liquid not provided for in groups H01L2224/051 - H01L2224/05191 H01L2224/05195with a principal constituent of the material being a gas not provided for in groups H01L2224/051 - H01L2224/05191 H01L2224/05198with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/05199Material of the matrix H01L2224/052with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/05201the principal constituent melting at a temperature of less than 400°C H01L2224/05205Gallium [Ga] as principal constituent H01L2224/05209Indium [In] as principal constituent H01L2224/05211Tin [Sn] as principal constituent H01L2224/05213Bismuth [Bi] as principal constituent H01L2224/05214Thallium [Tl] as principal constituent H01L2224/05216Lead [Pb] as principal constituent H01L2224/05217the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05218Zinc [Zn] as principal constituent H01L2224/0522Antimony [Sb] as principal constituent H01L2224/05223Magnesium [Mg] as principal constituent H01L2224/05224Aluminium [Al] as principal constituent H01L2224/05238the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05239Silver [Ag] as principal constituent H01L2224/05244Gold [Au] as principal constituent H01L2224/05247Copper [Cu] as principal constituent H01L2224/05249Manganese [Mn] as principal constituent H01L2224/05255Nickel [Ni] as principal constituent H01L2224/05257Cobalt [Co] as principal constituent H01L2224/0526Iron [Fe] as principal constituent H01L2224/05263the principal constituent melting at a temperature of greater than 1550°C H01L2224/05264Palladium [Pd] as principal constituent H01L2224/05266Titanium [Ti] as principal constituent H01L2224/05269Platinum [Pt] as principal constituent H01L2224/0527Zirconium [Zr] as principal constituent H01L2224/05271Chromium [Cr] as principal constituent H01L2224/05272Vanadium [V] as principal constituent H01L2224/05273Rhodium [Rh] as principal constituent H01L2224/05276Ruthenium [Ru] as principal constituent H01L2224/05278Iridium [Ir] as principal constituent H01L2224/05279Niobium [Nb] as principal constituent H01L2224/0528Molybdenum [Mo] as principal constituent H01L2224/05281Tantalum [Ta] as principal constituent H01L2224/05283Rhenium [Re] as principal constituent H01L2224/05284Tungsten [W] as principal constituent H01L2224/05286with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05287Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/05288 H01L2224/05288Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/0529with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/05291The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/05293with a principal constituent of the material being a solid not provided for in groups H01L2224/052 - H01L2224/05291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/05294with a principal constituent of the material being a liquid not provided for in groups H01L2224/052 - H01L2224/05291 H01L2224/05295with a principal constituent of the material being a gas not provided for in groups H01L2224/052 - H01L2224/05291 H01L2224/05298Fillers H01L2224/05299Base material H01L2224/053with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/05301the principal constituent melting at a temperature of less than 400°C H01L2224/05305Gallium [Ga] as principal constituent H01L2224/05309Indium [In] as principal constituent H01L2224/05311Tin [Sn] as principal constituent H01L2224/05313Bismuth [Bi] as principal constituent H01L2224/05314Thallium [Tl] as principal constituent H01L2224/05316Lead [Pb] as principal constituent H01L2224/05317the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05318Zinc [Zn] as principal constituent H01L2224/0532Antimony [Sb] as principal constituent H01L2224/05323Magnesium [Mg] as principal constituent H01L2224/05324Aluminium [Al] as principal constituent H01L2224/05338the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05339Silver [Ag] as principal constituent H01L2224/05344Gold [Au] as principal constituent H01L2224/05347Copper [Cu] as principal constituent H01L2224/05349Manganese [Mn] as principal constituent H01L2224/05355Nickel [Ni] as principal constituent H01L2224/05357Cobalt [Co] as principal constituent H01L2224/0536Iron [Fe] as principal constituent H01L2224/05363the principal constituent melting at a temperature of greater than 1550°C H01L2224/05364Palladium [Pd] as principal constituent H01L2224/05366Titanium [Ti] as principal constituent H01L2224/05369Platinum [Pt] as principal constituent H01L2224/0537Zirconium [Zr] as principal constituent H01L2224/05371Chromium [Cr] as principal constituent H01L2224/05372Vanadium [V] as principal constituent H01L2224/05373Rhodium [Rh] as principal constituent H01L2224/05376Ruthenium [Ru] as principal constituent H01L2224/05378Iridium [Ir] as principal constituent H01L2224/05379Niobium [Nb] as principal constituent H01L2224/0538Molybdenum [Mo] as principal constituent H01L2224/05381Tantalum [Ta] as principal constituent H01L2224/05383Rhenium [Re] as principal constituent H01L2224/05384Tungsten [W] as principal constituent H01L2224/05386with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05387Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/05388 H01L2224/05388Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/0539with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/05391The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/05393with a principal constituent of the material being a solid not provided for in groups H01L2224/053 - H01L2224/05391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/05394with a principal constituent of the material being a liquid not provided for in groups H01L2224/053 - H01L2224/05391 H01L2224/05395with a principal constituent of the material being a gas not provided for in groups H01L2224/053 - H01L2224/05391 H01L2224/05398with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/05399Coating material H01L2224/054with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/05401the principal constituent melting at a temperature of less than 400°C H01L2224/05405Gallium [Ga] as principal constituent H01L2224/05409Indium [In] as principal constituent H01L2224/05411Tin [Sn] as principal constituent H01L2224/05413Bismuth [Bi] as principal constituent H01L2224/05414Thallium [Tl] as principal constituent H01L2224/05416Lead [Pb] as principal constituent H01L2224/05417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05418Zinc [Zn] as principal constituent H01L2224/0542Antimony [Sb] as principal constituent H01L2224/05423Magnesium [Mg] as principal constituent H01L2224/05424Aluminium [Al] as principal constituent H01L2224/05438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05439Silver [Ag] as principal constituent H01L2224/05444Gold [Au] as principal constituent H01L2224/05447Copper [Cu] as principal constituent H01L2224/05449Manganese [Mn] as principal constituent H01L2224/05455Nickel [Ni] as principal constituent H01L2224/05457Cobalt [Co] as principal constituent H01L2224/0546Iron [Fe] as principal constituent H01L2224/05463the principal constituent melting at a temperature of greater than 1550°C H01L2224/05464Palladium [Pd] as principal constituent H01L2224/05466Titanium [Ti] as principal constituent H01L2224/05469Platinum [Pt] as principal constituent H01L2224/0547Zirconium [Zr] as principal constituent H01L2224/05471Chromium [Cr] as principal constituent H01L2224/05472Vanadium [V] as principal constituent H01L2224/05473Rhodium [Rh] as principal constituent H01L2224/05476Ruthenium [Ru] as principal constituent H01L2224/05478Iridium [Ir] as principal constituent H01L2224/05479Niobium [Nb] as principal constituent H01L2224/0548Molybdenum [Mo] as principal constituent H01L2224/05481Tantalum [Ta] as principal constituent H01L2224/05483Rhenium [Re] as principal constituent H01L2224/05484Tungsten [W] as principal constituent H01L2224/05486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05487Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/05488 H01L2224/05488Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/0549with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/05491The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/05493with a principal constituent of the material being a solid not provided for in groups H01L2224/054 - H01L2224/05491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/05494with a principal constituent of the material being a liquid not provided for in groups H01L2224/054 - H01L2224/05491 H01L2224/05495with a principal constituent of the material being a gas not provided for in groups H01L2224/054 - H01L2224/05491 H01L2224/05498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/05499Shape or distribution of the fillers H01L2224/0554External layer H01L2224/05541Structure H01L2224/05546Dual damascene structure H01L2224/05547comprising a core and a coating H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body H01L2224/0555Shape H01L2224/05551comprising apertures or cavities H01L2224/05552in top view H01L2224/05553being rectangular H01L2224/05554being square H01L2224/05555being circular or elliptic H01L2224/05556in side view H01L2224/05557comprising protrusions or indentations H01L2224/05558conformal layer on a patterned surface H01L2224/05559non conformal layer on a patterned surface H01L2224/0556Disposition H01L2224/05561On the entire surface of the internal layer H01L2224/05562On the entire exposed surface of the internal layer H01L2224/05563Only on parts of the surface of the internal layer H01L2224/05564Only on the bonding interface of the bonding area H01L2224/05565Only outside the bonding interface of the bonding area H01L2224/05566Both on and outside the bonding interface of the bonding area H01L2224/05567the external layer being at least partially embedded in the surface H01L2224/05568the whole external layer protruding from the surface H01L2224/05569the external layer being disposed on a redistribution layer on the semiconductor or solid-state body H01L2224/0557the external layer being disposed on a via connection of the semiconductor or solid-state body H01L2224/05571the external layer being disposed in a recess of the surface H01L2224/05572the external layer extending out of an opening H01L2224/05573Single external layer H01L2224/05575Plural external layers H01L2224/05576being mutually engaged together, e.g. through inserts H01L2224/05578being disposed next to each other, e.g. side-to-side arrangements H01L2224/0558being stacked H01L2224/05582Two-layer coating H01L2224/05583Three-layer coating H01L2224/05584Four-layer coating H01L2224/05599Material H01L2224/056with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/05601the principal constituent melting at a temperature of less than 400°C H01L2224/05605Gallium [Ga] as principal constituent H01L2224/05609Indium [In] as principal constituent H01L2224/05611Tin [Sn] as principal constituent H01L2224/05613Bismuth [Bi] as principal constituent H01L2224/05614Thallium [Tl] as principal constituent H01L2224/05616Lead [Pb] as principal constituent H01L2224/05617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05618Zinc [Zn] as principal constituent H01L2224/0562Antimony [Sb] as principal constituent H01L2224/05623Magnesium [Mg] as principal constituent H01L2224/05624Aluminium [Al] as principal constituent H01L2224/05638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05639Silver [Ag] as principal constituent H01L2224/05644Gold [Au] as principal constituent H01L2224/05647Copper [Cu] as principal constituent H01L2224/05649Manganese [Mn] as principal constituent H01L2224/05655Nickel [Ni] as principal constituent H01L2224/05657Cobalt [Co] as principal constituent H01L2224/0566Iron [Fe] as principal constituent H01L2224/05663the principal constituent melting at a temperature of greater than 1550°C H01L2224/05664Palladium [Pd] as principal constituent H01L2224/05666Titanium [Ti] as principal constituent H01L2224/05669Platinum [Pt] as principal constituent H01L2224/0567Zirconium [Zr] as principal constituent H01L2224/05671Chromium [Cr] as principal constituent H01L2224/05672Vanadium [V] as principal constituent H01L2224/05673Rhodium [Rh] as principal constituent H01L2224/05676Ruthenium [Ru] as principal constituent H01L2224/05678Iridium [Ir] as principal constituent H01L2224/05679Niobium [Nb] as principal constituent H01L2224/0568Molybdenum [Mo] as principal constituent H01L2224/05681Tantalum [Ta] as principal constituent H01L2224/05683Rhenium [Re] as principal constituent H01L2224/05684Tungsten [W] as principal constituent H01L2224/05686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05687Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/05688 H01L2224/05688Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/0569with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/05691The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/05693with a principal constituent of the material being a solid not provided for in groups H01L2224/056 - H01L2224/05691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/05694with a principal constituent of the material being a liquid not provided for in groups H01L2224/056 - H01L2224/05691 H01L2224/05695with a principal constituent of the material being a gas not provided for in groups H01L2224/056 - H01L2224/05691 H01L2224/05698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/05699Material of the matrix H01L2224/057with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/05701the principal constituent melting at a temperature of less than 400°C H01L2224/05705Gallium [Ga] as principal constituent H01L2224/05709Indium [In] as principal constituent H01L2224/05711Tin [Sn] as principal constituent H01L2224/05713Bismuth [Bi] as principal constituent H01L2224/05714Thallium [Tl] as principal constituent H01L2224/05716Lead [Pb] as principal constituent H01L2224/05717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05718Zinc [Zn] as principal constituent H01L2224/0572Antimony [Sb] as principal constituent H01L2224/05723Magnesium [Mg] as principal constituent H01L2224/05724Aluminium [Al] as principal constituent H01L2224/05738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05739Silver [Ag] as principal constituent H01L2224/05744Gold [Au] as principal constituent H01L2224/05747Copper [Cu] as principal constituent H01L2224/05749Manganese [Mn] as principal constituent H01L2224/05755Nickel [Ni] as principal constituent H01L2224/05757Cobalt [Co] as principal constituent H01L2224/0576Iron [Fe] as principal constituent H01L2224/05763the principal constituent melting at a temperature of greater than 1550°C H01L2224/05764Palladium [Pd] as principal constituent H01L2224/05766Titanium [Ti] as principal constituent H01L2224/05769Platinum [Pt] as principal constituent H01L2224/0577Zirconium [Zr] as principal constituent H01L2224/05771Chromium [Cr] as principal constituent H01L2224/05772Vanadium [V] as principal constituent H01L2224/05773Rhodium [Rh] as principal constituent H01L2224/05776Ruthenium [Ru] as principal constituent H01L2224/05778Iridium [Ir] as principal constituent H01L2224/05779Niobium [Nb] as principal constituent H01L2224/0578Molybdenum [Mo] as principal constituent H01L2224/05781Tantalum [Ta] as principal constituent H01L2224/05783Rhenium [Re] as principal constituent H01L2224/05784Tungsten [W] as principal constituent H01L2224/05786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05787Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/05788 H01L2224/05788Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/0579with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/05791The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/05793with a principal constituent of the material being a solid not provided for in groups H01L2224/057 - H01L2224/05791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/05794with a principal constituent of the material being a liquid not provided for in groups H01L2224/057 - H01L2224/05791 H01L2224/05795with a principal constituent of the material being a gas not provided for in groups H01L2224/057 - H01L2224/05791 H01L2224/05798Fillers H01L2224/05799Base material H01L2224/058with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/05801the principal constituent melting at a temperature of less than 400°C H01L2224/05805Gallium [Ga] as principal constituent H01L2224/05809Indium [In] as principal constituent H01L2224/05811Tin [Sn] as principal constituent H01L2224/05813Bismuth [Bi] as principal constituent H01L2224/05814Thallium [Tl] as principal constituent H01L2224/05816Lead [Pb] as principal constituent H01L2224/05817the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05818Zinc [Zn] as principal constituent H01L2224/0582Antimony [Sb] as principal constituent H01L2224/05823Magnesium [Mg] as principal constituent H01L2224/05824Aluminium [Al] as principal constituent H01L2224/05838the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05839Silver [Ag] as principal constituent H01L2224/05844Gold [Au] as principal constituent H01L2224/05847Copper [Cu] as principal constituent H01L2224/05849Manganese [Mn] as principal constituent H01L2224/05855Nickel [Ni] as principal constituent H01L2224/05857Cobalt [Co] as principal constituent H01L2224/0586Iron [Fe] as principal constituent H01L2224/05863the principal constituent melting at a temperature of greater than 1550°C H01L2224/05864Palladium [Pd] as principal constituent H01L2224/05866Titanium [Ti] as principal constituent H01L2224/05869Platinum [Pt] as principal constituent H01L2224/0587Zirconium [Zr] as principal constituent H01L2224/05871Chromium [Cr] as principal constituent H01L2224/05872Vanadium [V] as principal constituent H01L2224/05873Rhodium [Rh] as principal constituent H01L2224/05876Ruthenium [Ru] as principal constituent H01L2224/05878Iridium [Ir] as principal constituent H01L2224/05879Niobium [Nb] as principal constituent H01L2224/0588Molybdenum [Mo] as principal constituent H01L2224/05881Tantalum [Ta] as principal constituent H01L2224/05883Rhenium [Re] as principal constituent H01L2224/05884Tungsten [W] as principal constituent H01L2224/05886with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05887Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/05888 H01L2224/05888Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/0589with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/05891The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/05893with a principal constituent of the material being a solid not provided for in groups H01L2224/058 - H01L2224/05891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/05894with a principal constituent of the material being a liquid not provided for in groups H01L2224/058 - H01L2224/05891 H01L2224/05895with a principal constituent of the material being a gas not provided for in groups H01L2224/058 - H01L2224/05891 H01L2224/05898with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/05899Coating material H01L2224/059with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/05901the principal constituent melting at a temperature of less than 400°C H01L2224/05905Gallium [Ga] as principal constituent H01L2224/05909Indium [In] as principal constituent H01L2224/05911Tin [Sn] as principal constituent H01L2224/05913Bismuth [Bi] as principal constituent H01L2224/05914Thallium [Tl] as principal constituent H01L2224/05916Lead [Pb] as principal constituent H01L2224/05917the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05918Zinc [Zn] as principal constituent H01L2224/0592Antimony [Sb] as principal constituent H01L2224/05923Magnesium [Mg] as principal constituent H01L2224/05924Aluminium [Al] as principal constituent H01L2224/05938the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05939Silver [Ag] as principal constituent H01L2224/05944Gold [Au] as principal constituent H01L2224/05947Copper [Cu] as principal constituent H01L2224/05949Manganese [Mn] as principal constituent H01L2224/05955Nickel [Ni] as principal constituent H01L2224/05957Cobalt [Co] as principal constituent H01L2224/0596Iron [Fe] as principal constituent H01L2224/05963the principal constituent melting at a temperature of greater than 1550°C H01L2224/05964Palladium [Pd] as principal constituent H01L2224/05966Titanium [Ti] as principal constituent H01L2224/05969Platinum [Pt] as principal constituent H01L2224/0597Zirconium [Zr] as principal constituent H01L2224/05971Chromium [Cr] as principal constituent H01L2224/05972Vanadium [V] as principal constituent H01L2224/05973Rhodium [Rh] as principal constituent H01L2224/05976Ruthenium [Ru] as principal constituent H01L2224/05978Iridium [Ir] as principal constituent H01L2224/05979Niobium [Nb] as principal constituent H01L2224/0598Molybdenum [Mo] as principal constituent H01L2224/05981Tantalum [Ta] as principal constituent H01L2224/05983Rhenium [Re] as principal constituent H01L2224/05984Tungsten [W] as principal constituent H01L2224/05986with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05987Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/05988 H01L2224/05988Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/0599with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/05991The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/05993with a principal constituent of the material being a solid not provided for in groups H01L2224/059 - H01L2224/05991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/05994with a principal constituent of the material being a liquid not provided for in groups H01L2224/059 - H01L2224/05991 H01L2224/05995with a principal constituent of the material being a gas not provided for in groups H01L2224/059 - H01L2224/05991 H01L2224/05998with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/05999Shape or distribution of the fillers H01L2224/06of a plurality of bonding areas H01L2224/0601Structure H01L2224/0603Bonding areas having different sizes, e.g. different heights or widths H01L2224/0605Shape H01L2224/06051Bonding areas having different shapes H01L2224/061Disposition H01L2224/06102the bonding areas being at different heights H01L2224/0612Layout H01L2224/0613Square or rectangular array H01L2224/06131being uniform, i.e. having a uniform pitch across the array H01L2224/06132being non uniform, i.e. having a non uniform pitch across the array H01L2224/06133with a staggered arrangement, e.g. depopulated array H01L2224/06134covering only portions of the surface to be connected H01L2224/06135Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/06136Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/06137with specially adapted redistribution layers [RDL] H01L2224/06138being disposed in a single wiring level, i.e. planar layout H01L2224/06139being disposed in different wiring levels, i.e. resurf layout H01L2224/0614Circular array, i.e. array with radial symmetry H01L2224/06141being uniform, i.e. having a uniform pitch across the array H01L2224/06142being non uniform, i.e. having a non uniform pitch across the array H01L2224/06143with a staggered arrangement, e.g. depopulated array H01L2224/06144covering only portions of the surface to be connected H01L2224/06145Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/06146Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/06147with specially adapted redistribution layers [RDL] H01L2224/06148being disposed in a single wiring level, i.e. planar layout H01L2224/06149being disposed in different wiring levels, i.e. resurf layout H01L2224/0615Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry H01L2224/06151being uniform, i.e. having a uniform pitch across the array H01L2224/06152being non uniform, i.e. having a non uniform pitch across the array H01L2224/06153with a staggered arrangement, e.g. depopulated array H01L2224/06154covering only portions of the surface to be connected H01L2224/06155Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/06156Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/06157with specially adapted redistribution layers [RDL] H01L2224/06158being disposed in a single wiring level, i.e. planar layout H01L2224/06159being disposed in different wiring levels, i.e. resurf layout H01L2224/0616Random array, i.e. array with no symmetry H01L2224/06163with a staggered arrangement H01L2224/06164covering only portions of the surface to be connected H01L2224/06165Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/06166Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/06167with specially adapted redistribution layers [RDL] H01L2224/06168being disposed in a single wiring level, i.e. planar layout H01L2224/06169being disposed in different wiring levels, i.e. resurf layout H01L2224/06177Combinations of arrays with different layouts H01L2224/06179Corner adaptations, i.e. disposition of the bonding areas at the corners of the semiconductor or solid-state body H01L2224/0618being disposed on at least two different sides of the body, e.g. dual array H01L2224/06181On opposite sides of the body H01L2224/06182with specially adapted redistribution layers [RDL] H01L2224/06183On contiguous sides of the body H01L2224/06187with specially adapted redistribution layers [RDL] H01L2224/06188being disposed in a single wiring level, i.e. planar layout H01L2224/06189being disposed in different wiring levels, i.e. resurf layout H01L2224/065Material H01L2224/06505Bonding areas having different materials H01L2224/0651Function H01L2224/06515Bonding areas having different functions H01L2224/06517including bonding areas providing primarily mechanical bonding H01L2224/06519including bonding areas providing primarily thermal dissipation H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process H01L2224/08of an individual bonding area H01L2224/0801Structure H01L2224/0805Shape H01L2224/08052in top view H01L2224/08053being non uniform along the bonding area H01L2224/08054being rectangular H01L2224/08055being square H01L2224/08056being circular or elliptic H01L2224/08057in side view H01L2224/08058being non uniform along the bonding area H01L2224/08059comprising protrusions or indentations H01L2224/0807of bonding interfaces, e.g. interlocking features H01L2224/081Disposition H01L2224/08111the bonding area being disposed in a recess of the surface of the body H01L2224/08112the bonding area being at least partially embedded in the surface of the body H01L2224/08113the whole bonding area protruding from the surface of the body H01L2224/0812the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding H01L2224/08121the connected bonding areas being not aligned with respect to each other H01L2224/08123the bonding area connecting directly to at least two bonding areas H01L2224/08135the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip H01L2224/08137the bodies being arranged next to each other, e.g. on a common substrate H01L2224/08145the bodies being stacked H01L2224/08146the bonding area connecting to a via connection in the body H01L2224/08147the bonding area connecting to a bonding area disposed in a recess of the surface of the body H01L2224/08148the bonding area connecting to a bonding area protruding from the surface of the body H01L2224/08151the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive H01L2224/08153the body and the item being arranged next to each other, e.g. on a common substrate H01L2224/08155the item being non-metallic, e.g. being an insulating substrate with or without metallisation H01L2224/0816the bonding area connecting to a pin of the item H01L2224/08163the bonding area connecting to a potential ring of the item H01L2224/08165the bonding area connecting to a via metallisation of the item H01L2224/08167the bonding area connecting to a bonding area disposed in a recess of the surface of the item H01L2224/08168the bonding area connecting to a bonding area protruding from the surface of the item H01L2224/08175the item being metallic H01L2224/08183the bonding area connecting to a potential ring of the item H01L2224/08187the bonding area connecting to a bonding area disposed in a recess of the surface of the item H01L2224/08188the bonding area connecting to a bonding area protruding from the surface of the item H01L2224/08195the item being a discrete passive component H01L2224/08197the bonding area connecting to a bonding area disposed in a recess of the surface of the item H01L2224/08198the bonding area connecting to a bonding area protruding from the surface of the item H01L2224/08221the body and the item being stacked H01L2224/08225the item being non-metallic, e.g. insulating substrate with or without metallisation H01L2224/0823the bonding area connecting to a pin of the item H01L2224/08233the bonding area connecting to a potential ring of the item H01L2224/08235the bonding area connecting to a via metallisation of the item H01L2224/08237the bonding area connecting to a bonding area disposed in a recess of the surface of the item H01L2224/08238the bonding area connecting to a bonding area protruding from the surface of the item H01L2224/08245the item being metallic H01L2224/08253the bonding area connecting to a potential ring of the item H01L2224/08257the bonding area connecting to a bonding area disposed in a recess of the surface of the item H01L2224/08258the bonding area connecting to a bonding area protruding from the surface of the item H01L2224/08265the item being a discrete passive component H01L2224/08267the bonding area connecting to a bonding area disposed in a recess of the surface of the item H01L2224/08268the bonding area connecting to a bonding area protruding from the surface of the item H01L2224/085Material H01L2224/08501at the bonding interface H01L2224/08502comprising an eutectic alloy H01L2224/08503comprising an intermetallic compound H01L2224/08505outside the bonding interface H01L2224/08506comprising an eutectic alloy H01L2224/09of a plurality of bonding areas H01L2224/0901Structure H01L2224/0903Bonding areas having different sizes, e.g. different diameters, heights or widths H01L2224/0905Shape H01L2224/09051Bonding areas having different shapes H01L2224/09055of their bonding interfaces H01L2224/091Disposition H01L2224/09102the bonding areas being at different heights H01L2224/09103on the semiconductor or solid-state body H01L2224/09104outside the semiconductor or solid-state body H01L2224/0912Layout layout of bonding areas prior to the connecting process H01L2224/0612 H01L2224/0913Square or rectangular array H01L2224/09132being non uniform, i.e. having a non uniform pitch across the array H01L2224/09133with a staggered arrangement, e.g. depopulated array H01L2224/09134covering only portions of the surface to be connected H01L2224/09135Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/0914Circular array, i.e. array with radial symmetry H01L2224/09142being non uniform, i.e. having a non uniform pitch across the array H01L2224/09143with a staggered arrangement H01L2224/09144covering only portions of the surface to be connected H01L2224/09145Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/0915Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry H01L2224/09151being uniform, i.e. having a uniform pitch across the array H01L2224/09152being non uniform, i.e. having a non uniform pitch across the array H01L2224/09153with a staggered arrangement, e.g. depopulated array H01L2224/09154covering only portions of the surface to be connected H01L2224/09155Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/09156Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/0916Random array, i.e. array with no symmetry H01L2224/09163with a staggered arrangement H01L2224/09164covering only portions of the surface to be connected H01L2224/09165Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/09177Combinations of arrays with different layouts H01L2224/09179Corner adaptations, i.e. disposition of the bonding areas at the corners of the semiconductor or solid-state body H01L2224/0918being disposed on at least two different sides of the body, e.g. dual array H01L2224/09181On opposite sides of the body H01L2224/09183On contiguous sides of the body H01L2224/095Material H01L2224/09505Bonding areas having different materials H01L2224/0951Function H01L2224/09515Bonding areas having different functions H01L2224/09517including bonding areas providing primarily mechanical support H01L2224/09519including bonding areas providing primarily thermal dissipation H01L2224/10Bump connectorsManufacturing methods related thereto H01L2224/1012Auxiliary members for bump connectors, e.g. spacers H01L2224/10122being formed on the semiconductor or solid-state body to be connected H01L2224/10125Reinforcing structures H01L2224/10126Bump collar H01L2224/10135Alignment aids H01L2224/10145Flow barriers H01L2224/10152being formed on an item to be connected not being a semiconductor or solid-state body H01L2224/10155Reinforcing structures H01L2224/10156Bump collar H01L2224/10165Alignment aids H01L2224/10175Flow barriers H01L2224/11Manufacturing methods H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate H01L2224/11002for supporting the semiconductor or solid-state body H01L2224/11003for holding or transferring the bump preform H01L2224/11005for aligning the bump connector, e.g. marks, spacers H01L2224/11009for protecting parts during manufacture H01L2224/11011Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device, e.g. coating, dummy feature H01L2224/11013for holding or confining the bump connector, e.g. solder flow barrier H01L2224/11015for aligning the bump connector, e.g. marks, spacers H01L2224/11019for protecting parts during the process H01L2224/111Manufacture and pre-treatment of the bump connector preform H01L2224/1111Shaping H01L2224/1112Applying permanent coating H01L2224/113by local deposition of the material of the bump connector H01L2224/1131in liquid form H01L2224/11312Continuous flow, e.g. using a microsyringe, a pump, a nozzle or extrusion H01L2224/11318by dispensing droplets H01L2224/1132Screen printing, i.e. using a stencil H01L2224/1133in solid form H01L2224/11332using a powder H01L2224/11334using preformed bumps H01L2224/1134Stud bumping, i.e. using a wire-bonding apparatus H01L2224/114by blanket deposition of the material of the bump connector H01L2224/1141in liquid form H01L2224/11416Spin coating H01L2224/11418Spray coating H01L2224/1142Curtain coating H01L2224/11422by dipping, e.g. in a solder bath hot-dipping C23C2/00 H01L2224/11424Immersion coating, e.g. in a solder bath immersion processes C23C2/00 H01L2224/11426Chemical solution deposition [CSD], i.e. using a liquid precursor H01L2224/11428Wave coating H01L2224/1143in solid form H01L2224/11436Lamination of a preform, e.g. foil, sheet or layer H01L2224/11438the preform being at least partly pre-patterned H01L2224/1144by transfer printing H01L2224/11442using a powder H01L2224/11444in gaseous form H01L2224/1145Physical vapour deposition [PVD], e.g. evaporation, or sputtering H01L2224/11452Chemical vapour deposition [CVD], e.g. laser CVD H01L2224/1146Plating H01L2224/11462Electroplating H01L2224/11464Electroless plating H01L2224/11466Conformal deposition, i.e. blanket deposition of a conformal layer on a patterned surface H01L2224/1147using a lift-off mask H01L2224/11472Profile of the lift-off mask H01L2224/11474Multilayer masks H01L2224/1148Permanent masks, i.e. masks left in the finished device, e.g. passivation layers H01L2224/115by chemical or physical modification of a pre-existing or pre-deposited material H01L2224/11502Pre-existing or pre-deposited material H01L2224/11505Sintering H01L2224/1151Anodisation H01L2224/11515Curing and solidification, e.g. of a photosensitive bump material H01L2224/1152Self-assembly, e.g. self-agglomeration of the bump material in a fluid H01L2224/11522Auxiliary means therefor, e.g. for self-assembly activation H01L2224/11524with special adaptation of the surface or of an auxiliary substrate, e.g. surface shape specially adapted for the self-assembly process H01L2224/11526involving the material of the bonding area, e.g. bonding pad or under bump metallisation [UBM] H01L2224/1155Selective modification H01L2224/11552using a laser or a focussed ion beam [FIB] H01L2224/11554Stereolithography, i.e. solidification of a pattern defined by a laser trace in a photosensitive resin H01L2224/116by patterning a pre-deposited material treatment of parts prior to assembly of the devices H01L21/48 H01L2224/11602Mechanical treatment, e.g. polishing, grinding H01L2224/1161Physical or chemical etching H01L2224/11612by physical means only H01L2224/11614by chemical means only H01L2224/11616Chemical mechanical polishing [CMP] H01L2224/11618with selective exposure, development and removal of a photosensitive bump material, e.g. of a photosensitive conductive resin H01L2224/1162using masks H01L2224/11622Photolithography H01L2224/1163using a laser or a focused ion beam [FIB] H01L2224/11632Ablation by means of a laser or focused ion beam [FIB] H01L2224/117involving monitoring, e.g. feedback loop H01L2224/118Post-treatment of the bump connector H01L2224/1181Cleaning, e.g. oxide removal step, desmearing H01L2224/1182Applying permanent coating, e.g. in-situ coating H01L2224/11821Spray coating H01L2224/11822by dipping, e.g. in a solder bath H01L2224/11823Immersion coating, e.g. in a solder bath H01L2224/11824Chemical solution deposition [CSD], i.e. using a liquid precursor H01L2224/11825Plating, e.g. electroplating, electroless plating H01L2224/11826Physical vapour deposition [PVD], e.g. evaporation, or sputtering H01L2224/11827Chemical vapour deposition [CVD], e.g. laser CVD H01L2224/1183Reworking, e.g. shaping reflowing H01L2224/11849 H01L2224/11831involving a chemical process, e.g. etching the bump connector H01L2224/1184involving a mechanical process, e.g. planarising the bump connector H01L2224/11845Chemical mechanical polishing [CMP] H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling H01L2224/11849Reflowing H01L2224/119Methods of manufacturing bump connectors involving a specific sequence of method steps H01L2224/11901with repetition of the same manufacturing step H01L2224/11902Multiple masking steps H01L2224/11903using different masks H01L2224/11906with modification of the same mask H01L2224/1191Forming a passivation layer after forming the bump connector H01L2224/11912the bump being used as a mask for patterning other parts H01L2224/11914the under bump metallisation [UBM] being used as a mask for patterning other parts H01L2224/11916a passivation layer being used as a mask for patterning other parts H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages H01L2224/13of an individual bump connector H01L2224/13001Core members of the bump connector H01L2224/13005Structure H01L2224/13006Bump connector larger than the underlying bonding area, e.g. than the under bump metallisation [UBM] H01L2224/13007Bump connector smaller than the underlying bonding area, e.g. than the under bump metallisation [UBM] H01L2224/13008Bump connector integrally formed with a redistribution layer on the semiconductor or solid-state body H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body H01L2224/1301Shape H01L2224/13011comprising apertures or cavities, e.g. hollow bump H01L2224/13012in top view H01L2224/13013being rectangular or square H01L2224/13014being circular or elliptic H01L2224/13015comprising protrusions or indentations H01L2224/13016in side view H01L2224/13017being non uniform along the bump connector H01L2224/13018comprising protrusions or indentations H01L2224/13019at the bonding interface of the bump connector, i.e. on the surface of the bump connector H01L2224/1302Disposition H01L2224/13021the bump connector being disposed in a recess of the surface H01L2224/13022the bump connector being at least partially embedded in the surface H01L2224/13023the whole bump connector protruding from the surface H01L2224/13024the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body H01L2224/13025the bump connector being disposed on a via connection of the semiconductor or solid-state body H01L2224/13026relative to the bonding area, e.g. bond pad, of the semiconductor or solid-state body H01L2224/13027the bump connector being offset with respect to the bonding area, e.g. bond pad H01L2224/13028the bump connector being disposed on at least two separate bonding areas, e.g. bond pads H01L2224/13075Plural core members H01L2224/13076being mutually engaged together, e.g. through inserts H01L2224/13078being disposed next to each other, e.g. side-to-side arrangements H01L2224/1308being stacked H01L2224/13082Two-layer arrangements H01L2224/13083Three-layer arrangements H01L2224/13084Four-layer arrangements H01L2224/13099Material H01L2224/131with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/13101the principal constituent melting at a temperature of less than 400°C H01L2224/13105Gallium [Ga] as principal constituent H01L2224/13109Indium [In] as principal constituent H01L2224/13111Tin [Sn] as principal constituent H01L2224/13113Bismuth [Bi] as principal constituent H01L2224/13114Thallium [Tl] as principal constituent H01L2224/13116Lead [Pb] as principal constituent H01L2224/13117the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13118Zinc [Zn] as principal constituent H01L2224/1312Antimony [Sb] as principal constituent H01L2224/13123Magnesium [Mg] as principal constituent H01L2224/13124Aluminium [Al] as principal constituent H01L2224/13138the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13139Silver [Ag] as principal constituent H01L2224/13144Gold [Au] as principal constituent H01L2224/13147Copper [Cu] as principal constituent H01L2224/13149Manganese [Mn] as principal constituent H01L2224/13155Nickel [Ni] as principal constituent H01L2224/13157Cobalt [Co] as principal constituent H01L2224/1316Iron [Fe] as principal constituent H01L2224/13163the principal constituent melting at a temperature of greater than 1550°C H01L2224/13164Palladium [Pd] as principal constituent H01L2224/13166Titanium [Ti] as principal constituent H01L2224/13169Platinum [Pt] as principal constituent H01L2224/1317Zirconium [Zr] as principal constituent H01L2224/13171Chromium [Cr] as principal constituent H01L2224/13172Vanadium [V] as principal constituent H01L2224/13173Rhodium [Rh] as principal constituent H01L2224/13176Ruthenium [Ru] as principal constituent H01L2224/13178Iridium [Ir] as principal constituent H01L2224/13179Niobium [Nb] as principal constituent H01L2224/1318Molybdenum [Mo] as principal constituent H01L2224/13181Tantalum [Ta] as principal constituent H01L2224/13183Rhenium [Re] as principal constituent H01L2224/13184Tungsten [W] as principal constituent H01L2224/13186with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13187Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/13188 H01L2224/13188Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/1319with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/13191The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/13193with a principal constituent of the material being a solid not provided for in groups H01L2224/131 - H01L2224/13191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/13194with a principal constituent of the material being a liquid not provided for in groups H01L2224/131 - H01L2224/13191 H01L2224/13195with a principal constituent of the material being a gas not provided for in groups H01L2224/131 - H01L2224/13191 H01L2224/13198with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/13199Material of the matrix H01L2224/132with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/13201the principal constituent melting at a temperature of less than 400°C H01L2224/13205Gallium [Ga] as principal constituent H01L2224/13209Indium [In] as principal constituent H01L2224/13211Tin [Sn] as principal constituent H01L2224/13213Bismuth [Bi] as principal constituent H01L2224/13214Thallium [Tl] as principal constituent H01L2224/13216Lead [Pb] as principal constituent H01L2224/13217the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13218Zinc [Zn] as principal constituent H01L2224/1322Antimony [Sb] as principal constituent H01L2224/13223Magnesium [Mg] as principal constituent H01L2224/13224Aluminium [Al] as principal constituent H01L2224/13238the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13239Silver [Ag] as principal constituent H01L2224/13244Gold [Au] as principal constituent H01L2224/13247Copper [Cu] as principal constituent H01L2224/13249Manganese [Mn] as principal constituent H01L2224/13255Nickel [Ni] as principal constituent H01L2224/13257Cobalt [Co] as principal constituent H01L2224/1326Iron [Fe] as principal constituent H01L2224/13263the principal constituent melting at a temperature of greater than 1550°C H01L2224/13264Palladium [Pd] as principal constituent H01L2224/13266Titanium [Ti] as principal constituent H01L2224/13269Platinum [Pt] as principal constituent H01L2224/1327Zirconium [Zr] as principal constituent H01L2224/13271Chromium [Cr] as principal constituent H01L2224/13272Vanadium [V] as principal constituent H01L2224/13273Rhodium [Rh] as principal constituent H01L2224/13276Ruthenium [Ru] as principal constituent H01L2224/13278Iridium [Ir] as principal constituent H01L2224/13279Niobium [Nb] as principal constituent H01L2224/1328Molybdenum [Mo] as principal constituent H01L2224/13281Tantalum [Ta] as principal constituent H01L2224/13283Rhenium [Re] as principal constituent H01L2224/13284Tungsten [W] as principal constituent H01L2224/13286with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13287Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/13288 H01L2224/13288Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/1329with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/13291The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/13293with a principal constituent of the material being a solid not provided for in groups H01L2224/132 - H01L2224/13291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/13294with a principal constituent of the material being a liquid not provided for in groups H01L2224/132 - H01L2224/13291 H01L2224/13295with a principal constituent of the material being a gas not provided for in groups H01L2224/132 - H01L2224/13291 H01L2224/13298Fillers H01L2224/13299Base material H01L2224/133with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/13301the principal constituent melting at a temperature of less than 400°C H01L2224/13305Gallium [Ga] as principal constituent H01L2224/13309Indium [In] as principal constituent H01L2224/13311Tin [Sn] as principal constituent H01L2224/13313Bismuth [Bi] as principal constituent H01L2224/13314Thallium [Tl] as principal constituent H01L2224/13316Lead [Pb] as principal constituent H01L2224/13317the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13318Zinc [Zn] as principal constituent H01L2224/1332Antimony [Sb] as principal constituent H01L2224/13323Magnesium [Mg] as principal constituent H01L2224/13324Aluminium [Al] as principal constituent H01L2224/13338the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13339Silver [Ag] as principal constituent H01L2224/13344Gold [Au] as principal constituent H01L2224/13347Copper [Cu] as principal constituent H01L2224/13349Manganese [Mn] as principal constituent H01L2224/13355Nickel [Ni] as principal constituent H01L2224/13357Cobalt [Co] as principal constituent H01L2224/1336Iron [Fe] as principal constituent H01L2224/13363the principal constituent melting at a temperature of greater than 1550°C H01L2224/13364Palladium [Pd] as principal constituent H01L2224/13366Titanium [Ti] as principal constituent H01L2224/13369Platinum [Pt] as principal constituent H01L2224/1337Zirconium [Zr] as principal constituent H01L2224/13371Chromium [Cr] as principal constituent H01L2224/13372Vanadium [V] as principal constituent H01L2224/13373Rhodium [Rh] as principal constituent H01L2224/13376Ruthenium [Ru] as principal constituent H01L2224/13378Iridium [Ir] as principal constituent H01L2224/13379Niobium [Nb] as principal constituent H01L2224/1338Molybdenum [Mo] as principal constituent H01L2224/13381Tantalum [Ta] as principal constituent H01L2224/13383Rhenium [Re] as principal constituent H01L2224/13384Tungsten [W] as principal constituent H01L2224/13386with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13387Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/13388 H01L2224/13388Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/1339with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/13391The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/13393with a principal constituent of the material being a solid not provided for in groups H01L2224/133 - H01L2224/13391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/13394with a principal constituent of the material being a liquid not provided for in groups H01L2224/133 - H01L2224/13391 H01L2224/13395with a principal constituent of the material being a gas not provided for in groups H01L2224/133 - H01L2224/13391 H01L2224/13398with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/13399Coating material H01L2224/134with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/13401the principal constituent melting at a temperature of less than 400°C H01L2224/13405Gallium [Ga] as principal constituent H01L2224/13409Indium [In] as principal constituent H01L2224/13411Tin [Sn] as principal constituent H01L2224/13413Bismuth [Bi] as principal constituent H01L2224/13414Thallium [Tl] as principal constituent H01L2224/13416Lead [Pb] as principal constituent H01L2224/13417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13418Zinc [Zn] as principal constituent H01L2224/1342Antimony [Sb] as principal constituent H01L2224/13423Magnesium [Mg] as principal constituent H01L2224/13424Aluminium [Al] as principal constituent H01L2224/13438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13439Silver [Ag] as principal constituent H01L2224/13444Gold [Au] as principal constituent H01L2224/13447Copper [Cu] as principal constituent H01L2224/13449Manganese [Mn] as principal constituent H01L2224/13455Nickel [Ni] as principal constituent H01L2224/13457Cobalt [Co] as principal constituent H01L2224/1346Iron [Fe] as principal constituent H01L2224/13463the principal constituent melting at a temperature of greater than 1550°C H01L2224/13464Palladium [Pd] as principal constituent H01L2224/13466Titanium [Ti] as principal constituent H01L2224/13469Platinum [Pt] as principal constituent H01L2224/1347Zirconium [Zr] as principal constituent H01L2224/13471Chromium [Cr] as principal constituent H01L2224/13472Vanadium [V] as principal constituent H01L2224/13473Rhodium [Rh] as principal constituent H01L2224/13476Ruthenium [Ru] as principal constituent H01L2224/13478Iridium [Ir] as principal constituent H01L2224/13479Niobium [Nb] as principal constituent H01L2224/1348Molybdenum [Mo] as principal constituent H01L2224/13481Tantalum [Ta] as principal constituent H01L2224/13483Rhenium [Re] as principal constituent H01L2224/13484Tungsten [W] as principal constituent H01L2224/13486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13487Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/13488 H01L2224/13488Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/1349with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/13491The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/13493with a principal constituent of the material being a solid not provided for in groups H01L2224/134 - H01L2224/13491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/13494with a principal constituent of the material being a liquid not provided for in groups H01L2224/134 - H01L2224/13491 H01L2224/13495with a principal constituent of the material being a gas not provided for in groups H01L2224/134 - H01L2224/13491 H01L2224/13498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/13499Shape or distribution of the fillers H01L2224/1354Coating H01L2224/13541Structure H01L2224/1355Shape H01L2224/13551being non uniform H01L2224/13552comprising protrusions or indentations H01L2224/13553at the bonding interface of the bump connector, i.e. on the surface of the bump connector H01L2224/1356Disposition H01L2224/13561On the entire surface of the core, i.e. integral coating H01L2224/13562On the entire exposed surface of the core H01L2224/13563Only on parts of the surface of the core, i.e. partial coating H01L2224/13564Only on the bonding interface of the bump connector H01L2224/13565Only outside the bonding interface of the bump connector H01L2224/13566Both on and outside the bonding interface of the bump connector H01L2224/1357Single coating layer H01L2224/13575Plural coating layers H01L2224/13576being mutually engaged together, e.g. through inserts H01L2224/13578being disposed next to each other, e.g. side-to-side arrangements H01L2224/1358being stacked H01L2224/13582Two-layer coating H01L2224/13583Three-layer coating H01L2224/13584Four-layer coating H01L2224/13599Material H01L2224/136with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/13601the principal constituent melting at a temperature of less than 400°C H01L2224/13605Gallium [Ga] as principal constituent H01L2224/13609Indium [In] as principal constituent H01L2224/13611Tin [Sn] as principal constituent H01L2224/13613Bismuth [Bi] as principal constituent H01L2224/13614Thallium [Tl] as principal constituent H01L2224/13616Lead [Pb] as principal constituent H01L2224/13617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13618Zinc [Zn] as principal constituent H01L2224/1362Antimony [Sb] as principal constituent H01L2224/13623Magnesium [Mg] as principal constituent H01L2224/13624Aluminium [Al] as principal constituent H01L2224/13638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13639Silver [Ag] as principal constituent H01L2224/13644Gold [Au] as principal constituent H01L2224/13647Copper [Cu] as principal constituent H01L2224/13649Manganese [Mn] as principal constituent H01L2224/13655Nickel [Ni] as principal constituent H01L2224/13657Cobalt [Co] as principal constituent H01L2224/1366Iron [Fe] as principal constituent H01L2224/13663the principal constituent melting at a temperature of greater than 1550°C H01L2224/13664Palladium [Pd] as principal constituent H01L2224/13666Titanium [Ti] as principal constituent H01L2224/13669Platinum [Pt] as principal constituent H01L2224/1367Zirconium [Zr] as principal constituent H01L2224/13671Chromium [Cr] as principal constituent H01L2224/13672Vanadium [V] as principal constituent H01L2224/13673Rhodium [Rh] as principal constituent H01L2224/13676Ruthenium [Ru] as principal constituent H01L2224/13678Iridium [Ir] as principal constituent H01L2224/13679Niobium [Nb] as principal constituent H01L2224/1368Molybdenum [Mo] as principal constituent H01L2224/13681Tantalum [Ta] as principal constituent H01L2224/13683Rhenium [Re] as principal constituent H01L2224/13684Tungsten [W] as principal constituent H01L2224/13686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13687Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/13688 H01L2224/13688Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/1369with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/13691The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/13693with a principal constituent of the material being a solid not provided for in groups H01L2224/136 - H01L2224/13691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/13694with a principal constituent of the material being a liquid not provided for in groups H01L2224/136 - H01L2224/13691 H01L2224/13695with a principal constituent of the material being a gas not provided for in groups H01L2224/136 - H01L2224/13691 H01L2224/13698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/13699Material of the matrix H01L2224/137with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/13701the principal constituent melting at a temperature of less than 400°C H01L2224/13705Gallium [Ga] as principal constituent H01L2224/13709Indium [In] as principal constituent H01L2224/13711Tin [Sn] as principal constituent H01L2224/13713Bismuth [Bi] as principal constituent H01L2224/13714Thallium [Tl] as principal constituent H01L2224/13716Lead [Pb] as principal constituent H01L2224/13717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13718Zinc [Zn] as principal constituent H01L2224/1372Antimony [Sb] as principal constituent H01L2224/13723Magnesium [Mg] as principal constituent H01L2224/13724Aluminium [Al] as principal constituent H01L2224/13738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13739Silver [Ag] as principal constituent H01L2224/13744Gold [Au] as principal constituent H01L2224/13747Copper [Cu] as principal constituent H01L2224/13749Manganese [Mn] as principal constituent H01L2224/13755Nickel [Ni] as principal constituent H01L2224/13757Cobalt [Co] as principal constituent H01L2224/1376Iron [Fe] as principal constituent H01L2224/13763the principal constituent melting at a temperature of greater than 1550°C H01L2224/13764Palladium [Pd] as principal constituent H01L2224/13766Titanium [Ti] as principal constituent H01L2224/13769Platinum [Pt] as principal constituent H01L2224/1377Zirconium [Zr] as principal constituent H01L2224/13771Chromium [Cr] as principal constituent H01L2224/13772Vanadium [V] as principal constituent H01L2224/13773Rhodium [Rh] as principal constituent H01L2224/13776Ruthenium [Ru] as principal constituent H01L2224/13778Iridium [Ir] as principal constituent H01L2224/13779Niobium [Nb] as principal constituent H01L2224/1378Molybdenum [Mo] as principal constituent H01L2224/13781Tantalum [Ta] as principal constituent H01L2224/13783Rhenium [Re] as principal constituent H01L2224/13784Tungsten [W] as principal constituent H01L2224/13786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13787Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/13788 H01L2224/13788Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/1379with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/13791The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/13793with a principal constituent of the material being a solid not provided for in groups H01L2224/137 - H01L2224/13791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/13794with a principal constituent of the material being a liquid not provided for in groups H01L2224/137 - H01L2224/13791 H01L2224/13795with a principal constituent of the material being a gas not provided for in groups H01L2224/137 - H01L2224/13791 H01L2224/13798Fillers H01L2224/13799Base material H01L2224/138with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/13801the principal constituent melting at a temperature of less than 400°C H01L2224/13805Gallium [Ga] as principal constituent H01L2224/13809Indium [In] as principal constituent H01L2224/13811Tin [Sn] as principal constituent H01L2224/13813Bismuth [Bi] as principal constituent H01L2224/13814Thallium [Tl] as principal constituent H01L2224/13816Lead [Pb] as principal constituent H01L2224/13817the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13818Zinc [Zn] as principal constituent H01L2224/1382Antimony [Sb] as principal constituent H01L2224/13823Magnesium [Mg] as principal constituent H01L2224/13824Aluminium [Al] as principal constituent H01L2224/13838the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13839Silver [Ag] as principal constituent H01L2224/13844Gold [Au] as principal constituent H01L2224/13847Copper [Cu] as principal constituent H01L2224/13849Manganese [Mn] as principal constituent H01L2224/13855Nickel [Ni] as principal constituent H01L2224/13857Cobalt [Co] as principal constituent H01L2224/1386Iron [Fe] as principal constituent H01L2224/13863the principal constituent melting at a temperature of greater than 1550°C H01L2224/13864Palladium [Pd] as principal constituent H01L2224/13866Titanium [Ti] as principal constituent H01L2224/13869Platinum [Pt] as principal constituent H01L2224/1387Zirconium [Zr] as principal constituent H01L2224/13871Chromium [Cr] as principal constituent H01L2224/13872Vanadium [V] as principal constituent H01L2224/13873Rhodium [Rh] as principal constituent H01L2224/13876Ruthenium [Ru] as principal constituent H01L2224/13878Iridium [Ir] as principal constituent H01L2224/13879Niobium [Nb] as principal constituent H01L2224/1388Molybdenum [Mo] as principal constituent H01L2224/13881Tantalum [Ta] as principal constituent H01L2224/13883Rhenium [Re] as principal constituent H01L2224/13884Tungsten [W] as principal constituent H01L2224/13886with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13887Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/13888 H01L2224/13888Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/1389with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/13891The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/13893with a principal constituent of the material being a solid not provided for in groups H01L2224/138 - H01L2224/13891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/13894with a principal constituent of the material being a liquid not provided for in groups H01L2224/138 - H01L2224/13891 H01L2224/13895with a principal constituent of the material being a gas not provided for in groups H01L2224/138 - H01L2224/13891 H01L2224/13898with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/13899Coating material H01L2224/139with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/13901the principal constituent melting at a temperature of less than 400°C H01L2224/13905Gallium [Ga] as principal constituent H01L2224/13909Indium [In] as principal constituent H01L2224/13911Tin [Sn] as principal constituent H01L2224/13913Bismuth [Bi] as principal constituent H01L2224/13914Thallium [Tl] as principal constituent H01L2224/13916Lead [Pb] as principal constituent H01L2224/13917the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13918Zinc [Zn] as principal constituent H01L2224/1392Antimony [Sb] as principal constituent H01L2224/13923Magnesium [Mg] as principal constituent H01L2224/13924Aluminium [Al] as principal constituent H01L2224/13938the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13939Silver [Ag] as principal constituent H01L2224/13944Gold [Au] as principal constituent H01L2224/13947Copper [Cu] as principal constituent H01L2224/13949Manganese [Mn] as principal constituent H01L2224/13955Nickel [Ni] as principal constituent H01L2224/13957Cobalt [Co] as principal constituent H01L2224/1396Iron [Fe] as principal constituent H01L2224/13963the principal constituent melting at a temperature of greater than 1550°C H01L2224/13964Palladium [Pd] as principal constituent H01L2224/13966Titanium [Ti] as principal constituent H01L2224/13969Platinum [Pt] as principal constituent H01L2224/1397Zirconium [Zr] as principal constituent H01L2224/13971Chromium [Cr] as principal constituent H01L2224/13972Vanadium [V] as principal constituent H01L2224/13973Rhodium [Rh] as principal constituent H01L2224/13976Ruthenium [Ru] as principal constituent H01L2224/13978Iridium [Ir] as principal constituent H01L2224/13979Niobium [Nb] as principal constituent H01L2224/1398Molybdenum [Mo] as principal constituent H01L2224/13981Tantalum [Ta] as principal constituent H01L2224/13983Rhenium [Re] as principal constituent H01L2224/13984Tungsten [W] as principal constituent H01L2224/13986with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13987Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/13988 H01L2224/13988Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/1399with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/13991The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/13993with a principal constituent of the material being a solid not provided for in groups H01L2224/139 - H01L2224/13991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/13994with a principal constituent of the material being a liquid not provided for in groups H01L2224/139 - H01L2224/13991 H01L2224/13995with a principal constituent of the material being a gas not provided for in groups H01L2224/139 - H01L2224/13991 H01L2224/13998with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/13999Shape or distribution of the fillers H01L2224/14of a plurality of bump connectors H01L2224/1401Structure H01L2224/1403Bump connectors having different sizes, e.g. different diameters, heights or widths H01L2224/1405Shape H01L2224/14051Bump connectors having different shapes H01L2224/141Disposition H01L2224/14104relative to the bonding areas, e.g. bond pads, of the semiconductor or solid-state body H01L2224/1411the bump connectors being bonded to at least one common bonding area H01L2224/1412Layout H01L2224/1413Square or rectangular array H01L2224/14131being uniform, i.e. having a uniform pitch across the array H01L2224/14132being non uniform, i.e. having a non uniform pitch across the array H01L2224/14133with a staggered arrangement, e.g. depopulated array H01L2224/14134covering only portions of the surface to be connected H01L2224/14135Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/14136Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/1414Circular array, i.e. array with radial symmetry H01L2224/14141being uniform, i.e. having a uniform pitch across the array H01L2224/14142being non uniform, i.e. having a non uniform pitch across the array H01L2224/14143with a staggered arrangement, e.g. depopulated array H01L2224/14144covering only portions of the surface to be connected H01L2224/14145Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/14146Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/1415Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry H01L2224/14151being uniform, i.e. having a uniform pitch across the array H01L2224/14152being non uniform, i.e. having a non uniform pitch across the array H01L2224/14153with a staggered arrangement, e.g. depopulated array H01L2224/14154covering only portions of the surface to be connected H01L2224/14155Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/14156Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/1416Random layout, i.e. layout with no symmetry H01L2224/14163with a staggered arrangement H01L2224/14164covering only portions of the surface to be connected H01L2224/14165Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/14166Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/14177Combinations of arrays with different layouts H01L2224/14179Corner adaptations, i.e. disposition of the bump connectors at the corners of the semiconductor or solid-state body H01L2224/1418being disposed on at least two different sides of the body, e.g. dual array H01L2224/14181On opposite sides of the body H01L2224/14183On contiguous sides of the body H01L2224/145Material H01L2224/14505Bump connectors having different materials H01L2224/1451Function H01L2224/14515Bump connectors having different functions H01L2224/14517including bump connectors providing primarily mechanical bonding H01L2224/14519including bump connectors providing primarily thermal dissipation H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process H01L2224/16of an individual bump connector H01L2224/1601Structure H01L2224/16012relative to the bonding area, e.g. bond pad H01L2224/16013the bump connector being larger than the bonding area, e.g. bond pad H01L2224/16014the bump connector being smaller than the bonding area, e.g. bond pad H01L2224/1605Shape H01L2224/16052in top view H01L2224/16054being rectangular or square H01L2224/16055being circular or elliptic H01L2224/16056comprising protrusions or indentations H01L2224/16057in side view H01L2224/16058being non uniform along the bump connector H01L2224/16059comprising protrusions or indentations H01L2224/1607of bonding interfaces, e.g. interlocking features H01L2224/161Disposition H01L2224/16104relative to the bonding area, e.g. bond pad H01L2224/16105the bump connector connecting bonding areas being not aligned with respect to each other H01L2224/16106the bump connector connecting one bonding area to at least two respective bonding areas H01L2224/16108the bump connector not being orthogonal to the surface H01L2224/16111the bump connector being disposed in a recess of the surface H01L2224/16112the bump connector being at least partially embedded in the surface H01L2224/16113the whole bump connector protruding from the surface H01L2224/1613the bump connector connecting within a semiconductor or solid-state body, i.e. connecting two bonding areas on the same semiconductor or solid-state body H01L2224/16135the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip H01L2224/16137the bodies being arranged next to each other, e.g. on a common substrate H01L2224/16141the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements H01L2224/16145the bodies being stacked H01L2224/16146the bump connector connecting to a via connection in the semiconductor or solid-state body H01L2224/16147the bump connector connecting to a bonding area disposed in a recess of the surface H01L2224/16148the bump connector connecting to a bonding area protruding from the surface H01L2224/16151the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive H01L2224/16153the body and the item being arranged next to each other, e.g. on a common substrate H01L2224/16155the item being non-metallic, e.g. being an insulating substrate with or without metallisation H01L2224/16157the bump connector connecting to a bond pad of the item H01L2224/1616the bump connector connecting to a pin of the item H01L2224/16163the bump connector connecting to a potential ring of the item H01L2224/16165the bump connector connecting to a via metallisation of the item H01L2224/16167the bump connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/16168the bump connector connecting to a bonding area protruding from the surface of the item H01L2224/16175the item being metallic H01L2224/16183the bump connector connecting to a potential ring of the item H01L2224/16187the bump connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/16188the bump connector connecting to a bonding area protruding from the surface of the item H01L2224/16195the item being a discrete passive component H01L2224/16197the bump connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/16198the bump connector connecting to a bonding area protruding from the surface of the item H01L2224/16221the body and the item being stacked H01L2224/16225the item being non-metallic, e.g. insulating substrate with or without metallisation H01L2224/16227the bump connector connecting to a bond pad of the item H01L2224/1623the bump connector connecting to a pin of the item H01L2224/16233the bump connector connecting to a potential ring of the item H01L2224/16235the bump connector connecting to a via metallisation of the item H01L2224/16237the bump connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/16238the bump connector connecting to a bonding area protruding from the surface of the item H01L2224/1624the bump connector connecting between the body and an opposite side of the item with respect to the body H01L2224/16245the item being metallic H01L2224/16253the bump connector connecting to a potential ring of the item H01L2224/16257the bump connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/16258the bump connector connecting to a bonding area protruding from the surface of the item H01L2224/1626the bump connector connecting between the body and an opposite side of the item with respect to the body H01L2224/16265the item being a discrete passive component H01L2224/16267the bump connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/16268the bump connector connecting to a bonding area protruding from the surface of the item H01L2224/165Material H01L2224/16501at the bonding interface H01L2224/16502comprising an eutectic alloy H01L2224/16503comprising an intermetallic compound H01L2224/16505outside the bonding interface, e.g. in the bulk of the bump connector H01L2224/16506comprising an eutectic alloy H01L2224/16507comprising an intermetallic compound H01L2224/17of a plurality of bump connectors H01L2224/1701Structure H01L2224/1703Bump connectors having different sizes, e.g. different diameters, heights or widths H01L2224/1705Shape H01L2224/17051Bump connectors having different shapes H01L2224/17055of their bonding interfaces H01L2224/171Disposition H01L2224/17104relative to the bonding areas, e.g. bond pads H01L2224/17106the bump connectors being bonded to at least one common bonding area H01L2224/17107the bump connectors connecting two common bonding areas H01L2224/1712Layout layout of bump connectors prior to the connecting process H01L2224/1412 H01L2224/1713Square or rectangular array H01L2224/17132being non uniform, i.e. having a non uniform pitch across the array H01L2224/17133with a staggered arrangement, e.g. depopulated array H01L2224/17134covering only portions of the surface to be connected H01L2224/17135Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/17136Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/1714Circular array, i.e. array with radial symmetry H01L2224/17142being non uniform, i.e. having a non uniform pitch across the array H01L2224/17143with a staggered arrangement H01L2224/17144covering only portions of the surface to be connected H01L2224/17145Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/17146Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/1715Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry H01L2224/17151being uniform, i.e. having a uniform pitch across the array H01L2224/17152being non uniform, i.e. having a non uniform pitch across the array H01L2224/17153with a staggered arrangement, e.g. depopulated array H01L2224/17154covering only portions of the surface to be connected H01L2224/17155Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/17156Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/1716Random layout, i.e. layout with no symmetry H01L2224/17163with a staggered arrangement H01L2224/17164covering only portions of the surface to be connected H01L2224/17165Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/17166Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/17177Combinations of arrays with different layouts H01L2224/17179Corner adaptations, i.e. disposition of the bump connectors at the corners of the semiconductor or solid-state body H01L2224/1718being disposed on at least two different sides of the body, e.g. dual array H01L2224/17181On opposite sides of the body H01L2224/17183On contiguous sides of the body H01L2224/175Material H01L2224/17505Bump connectors having different materials H01L2224/1751Function H01L2224/17515Bump connectors having different functions H01L2224/17517including bump connectors providing primarily mechanical support H01L2224/17519including bump connectors providing primarily thermal dissipation H01L2224/18High density interconnect [HDI] connectorsManufacturing methods related thereto H01L2224/19Manufacturing methods of high density interconnect preforms H01L2224/20Structure, shape, material or disposition of high density interconnect preforms H01L2224/21of an individual HDI interconnect H01L2224/2101Structure H01L2224/2105Shape H01L2224/211Disposition H01L2224/214Connecting portions H01L2224/215Material H01L2224/22of a plurality of HDI interconnects H01L2224/2201Structure H01L2224/2205Shape H01L2224/221Disposition H01L2224/224Connecting portions H01L2224/225Material H01L2224/22505HDI interconnects having different materials H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process H01L2224/24of an individual high density interconnect connector H01L2224/2401Structure H01L2224/24011Deposited, e.g. MCM-D type H01L2224/2402Laminated, e.g. MCM-L type H01L2224/2405Shape H01L2224/24051Conformal with the semiconductor or solid-state device H01L2224/241Disposition H01L2224/24101Connecting bonding areas at the same height H01L2224/24105Connecting bonding areas at different heights H01L2224/2413Connecting within a semiconductor or solid-state body H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip H01L2224/24137the bodies being arranged next to each other, e.g. on a common substrate H01L2224/24141the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements H01L2224/24145the bodies being stacked H01L2224/24146the HDI interconnect connecting to the same level of the lower semiconductor or solid-state body at which the upper semiconductor or solid-state body is mounted H01L2224/24147the HDI interconnect not connecting to the same level of the lower semiconductor or solid-state body at which the upper semiconductor or solid-state body is mounted, e.g. the upper semiconductor or solid-state body being mounted in a cavity or on a protrusion of the lower semiconductor or solid-state body H01L2224/24151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive H01L2224/24153the body and the item being arranged next to each other, e.g. on a common substrate H01L2224/24155the item being non-metallic, e.g. insulating substrate with or without metallisation H01L2224/24175the item being metallic H01L2224/24195the item being a discrete passive component H01L2224/24221the body and the item being stacked H01L2224/24225the item being non-metallic, e.g. insulating substrate with or without metallisation H01L2224/24226the HDI interconnect connecting to the same level of the item at which the semiconductor or solid-state body is mounted, e.g. the item being planar H01L2224/24227the HDI interconnect not connecting to the same level of the item at which the semiconductor or solid-state body is mounted, e.g. the semiconductor or solid-state body being mounted in a cavity or on a protrusion of the item H01L2224/24245the item being metallic H01L2224/24246the HDI interconnect connecting to the same level of the item at which the semiconductor or solid-state body is mounted, e.g. the item being planar H01L2224/24247the HDI interconnect not connecting to the same level of the item at which the semiconductor or solid-state body is mounted, e.g. the semiconductor or solid-state body being mounted in a cavity or on a protrusion of the item H01L2224/24265the item being a discrete passive component H01L2224/244Connecting portions H01L2224/245Material H01L2224/2499Auxiliary members for HDI interconnects, e.g. spacers, alignment aids H01L2224/24991being formed on the semiconductor or solid-state body to be connected H01L2224/24992Flow barrier H01L2224/24996being formed on an item to be connected not being a semiconductor or solid-state body H01L2224/24997Flow barrier H01L2224/24998Reinforcing structures, e.g. ramp-like support H01L2224/25of a plurality of high density interconnect connectors H01L2224/2501Structure H01L2224/2505Shape H01L2224/251Disposition H01L2224/25105Connecting at different heights H01L2224/2511the connectors being bonded to at least one common bonding area H01L2224/25111the connectors connecting two common bonding areas H01L2224/25112the connectors connecting a common bonding area on the semiconductor or solid-state body to different bonding areas outside the body H01L2224/25113the connectors connecting different bonding areas on the semiconductor or solid-state body to a common bonding area outside the body H01L2224/2512Layout H01L2224/25171Fan-out arrangements H01L2224/25174Stacked arrangements H01L2224/25175Parallel arrangements H01L2224/25177Combinations of a plurality of arrangements H01L2224/2518being disposed on at least two different sides of the body, e.g. dual array H01L2224/254Connecting portions H01L2224/2541the connecting portions being stacked H01L2224/2543the connecting portions being staggered H01L2224/255Material H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layersManufacturing methods related thereto H01L2224/2612Auxiliary members for layer connectors, e.g. spacers H01L2224/26122being formed on the semiconductor or solid-state body to be connected H01L2224/26125Reinforcing structures H01L2224/26135Alignment aids H01L2224/26145Flow barriers H01L2224/26152being formed on an item to be connected not being a semiconductor or solid-state body H01L2224/26155Reinforcing structures H01L2224/26165Alignment aids H01L2224/26175Flow barriers H01L2224/27Manufacturing methods H01L2224/27001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate H01L2224/27002for supporting the semiconductor or solid-state body H01L2224/27003for holding or transferring the layer preform H01L2224/27005for aligning the layer connector, e.g. marks, spacers H01L2224/27009for protecting parts during manufacture H01L2224/27011Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device, e.g. coating, dummy feature H01L2224/27013for holding or confining the layer connector, e.g. solder flow barrier H01L2224/27015for aligning the layer connector, e.g. marks, spacers H01L2224/27019for protecting parts during the process H01L2224/271Manufacture and pre-treatment of the layer connector preform H01L2224/2711Shaping H01L2224/2712Applying permanent coating H01L2224/273by local deposition of the material of the layer connector H01L2224/2731in liquid form H01L2224/27312Continuous flow, e.g. using a microsyringe, a pump, a nozzle or extrusion H01L2224/27318by dispensing droplets H01L2224/2732Screen printing, i.e. using a stencil H01L2224/2733in solid form H01L2224/27332using a powder H01L2224/27334using preformed layer H01L2224/274by blanket deposition of the material of the layer connector H01L2224/2741in liquid form H01L2224/27416Spin coating H01L2224/27418Spray coating H01L2224/2742Curtain coating H01L2224/27422by dipping, e.g. in a solder bath hot-dipping C23C2/00 H01L2224/27424Immersion coating, e.g. in a solder bath immersion processes C23C2/00 H01L2224/27426Chemical solution deposition [CSD], i.e. using a liquid precursor H01L2224/27428Wave coating H01L2224/2743in solid form H01L2224/27436Lamination of a preform, e.g. foil, sheet or layer H01L2224/27438the preform being at least partly pre-patterned H01L2224/2744by transfer printing H01L2224/27442using a powder H01L2224/27444in gaseous form H01L2224/2745Physical vapour deposition [PVD], e.g. evaporation, or sputtering H01L2224/27452Chemical vapour deposition [CVD], e.g. laser CVD H01L2224/2746Plating H01L2224/27462Electroplating H01L2224/27464Electroless plating H01L2224/27466Conformal deposition, i.e. blanket deposition of a conformal layer on a patterned surface H01L2224/2747using a lift-off mask H01L2224/27472Profile of the lift-off mask H01L2224/27474Multilayer masks H01L2224/2748Permanent masks, i.e. masks left in the finished device, e.g. passivation layers H01L2224/275by chemical or physical modification of a pre-existing or pre-deposited material H01L2224/27502Pre-existing or pre-deposited material H01L2224/27505Sintering H01L2224/2751Anodisation H01L2224/27515Curing and solidification, e.g. of a photosensitive layer material H01L2224/2752Self-assembly, e.g. self-agglomeration of the layer material in a fluid H01L2224/27522Auxiliary means therefor, e.g. for self-assembly activation H01L2224/27524with special adaptation of the surface or of an auxiliary substrate, e.g. surface shape specially adapted for the self-assembly process H01L2224/27526involving the material of the bonding area, e.g. bonding pad H01L2224/2755Selective modification H01L2224/27552using a laser or a focussed ion beam [FIB] H01L2224/27554Stereolithography, i.e. solidification of a pattern defined by a laser trace in a photosensitive resin H01L2224/276by patterning a pre-deposited material treatment of parts prior to assembly of the devices H01L21/48 H01L2224/27602Mechanical treatment, e.g. polishing, grinding H01L2224/2761Physical or chemical etching H01L2224/27612by physical means only H01L2224/27614by chemical means only H01L2224/27616Chemical mechanical polishing [CMP] H01L2224/27618with selective exposure, development and removal of a photosensitive layer material, e.g. of a photosensitive conductive resin H01L2224/2762using masks H01L2224/27622Photolithography H01L2224/2763using a laser or a focused ion beam [FIB] H01L2224/27632Ablation by means of a laser or focused ion beam [FIB] H01L2224/277involving monitoring, e.g. feedback loop H01L2224/278Post-treatment of the layer connector H01L2224/2781Cleaning, e.g. oxide removal step, desmearing H01L2224/2782Applying permanent coating, e.g. in-situ coating H01L2224/27821Spray coating H01L2224/27822by dipping, e.g. in a solder bath H01L2224/27823Immersion coating, e.g. in a solder bath H01L2224/27824Chemical solution deposition [CSD], i.e. using a liquid precursor H01L2224/27825Plating, e.g. electroplating, electroless plating H01L2224/27826Physical vapour deposition [PVD], e.g. evaporation, or sputtering H01L2224/27827Chemical vapour deposition [CVD], e.g. laser CVD H01L2224/2783Reworking, e.g. shaping reflowing H01L2224/27849 H01L2224/27831involving a chemical process, e.g. etching the layer connector H01L2224/2784involving a mechanical process, e.g. planarising the layer connector H01L2224/27845Chemical mechanical polishing [CMP] H01L2224/27848Thermal treatments, e.g. annealing, controlled cooling H01L2224/27849Reflowing H01L2224/279Methods of manufacturing layer connectors involving a specific sequence of method steps H01L2224/27901with repetition of the same manufacturing step H01L2224/27902Multiple masking steps H01L2224/27903using different masks H01L2224/27906with modification of the same mask H01L2224/2791Forming a passivation layer after forming the layer connector H01L2224/27912the layer being used as a mask for patterning other parts H01L2224/27916a passivation layer being used as a mask for patterning other parts H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process H01L2224/28105Layer connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. layer connectors on chip-scale packages H01L2224/29of an individual layer connector H01L2224/29001Core members of the layer connector H01L2224/29005Structure H01L2224/29006Layer connector larger than the underlying bonding area H01L2224/29007Layer connector smaller than the underlying bonding area H01L2224/29008Layer connector integrally formed with a redistribution layer on the semiconductor or solid-state body H01L2224/29009Layer connector integrally formed with a via connection of the semiconductor or solid-state body H01L2224/2901Shape H01L2224/29011comprising apertures or cavities H01L2224/29012in top view H01L2224/29013being rectangular or square H01L2224/29014being circular or elliptic H01L2224/29015comprising protrusions or indentations H01L2224/29016in side view H01L2224/29017being non uniform along the layer connector H01L2224/29018comprising protrusions or indentations H01L2224/29019at the bonding interface of the layer connector, i.e. on the surface of the layer connector H01L2224/2902Disposition H01L2224/29021the layer connector being disposed in a recess of the surface embedded layer connector H01L2224/29022 H01L2224/29022the layer connector being at least partially embedded in the surface H01L2224/29023the whole layer connector protruding from the surface H01L2224/29024the layer connector being disposed on a redistribution layer on the semiconductor or solid-state body H01L2224/29025the layer connector being disposed on a via connection of the semiconductor or solid-state body H01L2224/29026relative to the bonding area, e.g. bond pad, of the semiconductor or solid-state body H01L2224/29027the layer connector being offset with respect to the bonding area, e.g. bond pad H01L2224/29028the layer connector being disposed on at least two separate bonding areas, e.g. bond pads H01L2224/29034the layer connector covering only portions of the surface to be connected H01L2224/29035covering only the peripheral area of the surface to be connected H01L2224/29036covering only the central area of the surface to be connected H01L2224/29075Plural core members H01L2224/29076being mutually engaged together, e.g. through inserts H01L2224/29078being disposed next to each other, e.g. side-to-side arrangements H01L2224/2908being stacked H01L2224/29082Two-layer arrangements H01L2224/29083Three-layer arrangements H01L2224/29084Four-layer arrangements H01L2224/29099Material H01L2224/291with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/29101the principal constituent melting at a temperature of less than 400°C H01L2224/29105Gallium [Ga] as principal constituent H01L2224/29109Indium [In] as principal constituent H01L2224/29111Tin [Sn] as principal constituent H01L2224/29113Bismuth [Bi] as principal constituent H01L2224/29114Thallium [Tl] as principal constituent H01L2224/29116Lead [Pb] as principal constituent H01L2224/29117the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29118Zinc [Zn] as principal constituent H01L2224/2912Antimony [Sb] as principal constituent H01L2224/29123Magnesium [Mg] as principal constituent H01L2224/29124Aluminium [Al] as principal constituent H01L2224/29138the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29139Silver [Ag] as principal constituent H01L2224/29144Gold [Au] as principal constituent H01L2224/29147Copper [Cu] as principal constituent H01L2224/29149Manganese [Mn] as principal constituent H01L2224/29155Nickel [Ni] as principal constituent H01L2224/29157Cobalt [Co] as principal constituent H01L2224/2916Iron [Fe] as principal constituent H01L2224/29163the principal constituent melting at a temperature of greater than 1550°C H01L2224/29164Palladium [Pd] as principal constituent H01L2224/29166Titanium [Ti] as principal constituent H01L2224/29169Platinum [Pt] as principal constituent H01L2224/2917Zirconium [Zr] as principal constituent H01L2224/29171Chromium [Cr] as principal constituent H01L2224/29172Vanadium [V] as principal constituent H01L2224/29173Rhodium [Rh] as principal constituent H01L2224/29176Ruthenium [Ru] as principal constituent H01L2224/29178Iridium [Ir] as principal constituent H01L2224/29179Niobium [Nb] as principal constituent H01L2224/2918Molybdenum [Mo] as principal constituent H01L2224/29181Tantalum [Ta] as principal constituent H01L2224/29183Rhenium [Re] as principal constituent H01L2224/29184Tungsten [W] as principal constituent H01L2224/29186with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29187Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/29188 H01L2224/29188Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/2919with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/29191The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/29193with a principal constituent of the material being a solid not provided for in groups H01L2224/291 - H01L2224/29191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/29194with a principal constituent of the material being a liquid not provided for in groups H01L2224/291 - H01L2224/29191 H01L2224/29195with a principal constituent of the material being a gas not provided for in groups H01L2224/291 - H01L2224/29191 H01L2224/29198with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/29199Material of the matrix H01L2224/292with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/29201the principal constituent melting at a temperature of less than 400°C H01L2224/29205Gallium [Ga] as principal constituent H01L2224/29209Indium [In] as principal constituent H01L2224/29211Tin [Sn] as principal constituent H01L2224/29213Bismuth [Bi] as principal constituent H01L2224/29214Thallium [Tl] as principal constituent H01L2224/29216Lead [Pb] as principal constituent H01L2224/29217the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29218Zinc [Zn] as principal constituent H01L2224/2922Antimony [Sb] as principal constituent H01L2224/29223Magnesium [Mg] as principal constituent H01L2224/29224Aluminium [Al] as principal constituent H01L2224/29238the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29239Silver [Ag] as principal constituent H01L2224/29244Gold [Au] as principal constituent H01L2224/29247Copper [Cu] as principal constituent H01L2224/29249Manganese [Mn] as principal constituent H01L2224/29255Nickel [Ni] as principal constituent H01L2224/29257Cobalt [Co] as principal constituent H01L2224/2926Iron [Fe] as principal constituent H01L2224/29263the principal constituent melting at a temperature of greater than 1550°C H01L2224/29264Palladium [Pd] as principal constituent H01L2224/29266Titanium [Ti] as principal constituent H01L2224/29269Platinum [Pt] as principal constituent H01L2224/2927Zirconium [Zr] as principal constituent H01L2224/29271Chromium [Cr] as principal constituent H01L2224/29272Vanadium [V] as principal constituent H01L2224/29273Rhodium [Rh] as principal constituent H01L2224/29276Ruthenium [Ru] as principal constituent H01L2224/29278Iridium [Ir] as principal constituent H01L2224/29279Niobium [Nb] as principal constituent H01L2224/2928Molybdenum [Mo] as principal constituent H01L2224/29281Tantalum [Ta] as principal constituent H01L2224/29283Rhenium [Re] as principal constituent H01L2224/29284Tungsten [W] as principal constituent H01L2224/29286with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29287Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/29288 H01L2224/29288Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/2929with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/29291The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/29293with a principal constituent of the material being a solid not provided for in groups H01L2224/292 - H01L2224/29291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/29294with a principal constituent of the material being a liquid not provided for in groups H01L2224/292 - H01L2224/29291 H01L2224/29295with a principal constituent of the material being a gas not provided for in groups H01L2224/292 - H01L2224/29291 H01L2224/29298Fillers H01L2224/29299Base material H01L2224/293with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/29301the principal constituent melting at a temperature of less than 400°C H01L2224/29305Gallium [Ga] as principal constituent H01L2224/29309Indium [In] as principal constituent H01L2224/29311Tin [Sn] as principal constituent H01L2224/29313Bismuth [Bi] as principal constituent H01L2224/29314Thallium [Tl] as principal constituent H01L2224/29316Lead [Pb] as principal constituent H01L2224/29317the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29318Zinc [Zn] as principal constituent H01L2224/2932Antimony [Sb] as principal constituent H01L2224/29323Magnesium [Mg] as principal constituent H01L2224/29324Aluminium [Al] as principal constituent H01L2224/29338the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29339Silver [Ag] as principal constituent H01L2224/29344Gold [Au] as principal constituent H01L2224/29347Copper [Cu] as principal constituent H01L2224/29349Manganese [Mn] as principal constituent H01L2224/29355Nickel [Ni] as principal constituent H01L2224/29357Cobalt [Co] as principal constituent H01L2224/2936Iron [Fe] as principal constituent H01L2224/29363the principal constituent melting at a temperature of greater than 1550°C H01L2224/29364Palladium [Pd] as principal constituent H01L2224/29366Titanium [Ti] as principal constituent H01L2224/29369Platinum [Pt] as principal constituent H01L2224/2937Zirconium [Zr] as principal constituent H01L2224/29371Chromium [Cr] as principal constituent H01L2224/29372Vanadium [V] as principal constituent H01L2224/29373Rhodium [Rh] as principal constituent H01L2224/29376Ruthenium [Ru] as principal constituent H01L2224/29378Iridium [Ir] as principal constituent H01L2224/29379Niobium [Nb] as principal constituent H01L2224/2938Molybdenum [Mo] as principal constituent H01L2224/29381Tantalum [Ta] as principal constituent H01L2224/29383Rhenium [Re] as principal constituent H01L2224/29384Tungsten [W] as principal constituent H01L2224/29386with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29387Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/29388 H01L2224/29388Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/2939with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/29391The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/29393with a principal constituent of the material being a solid not provided for in groups H01L2224/293 - H01L2224/29391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/29394with a principal constituent of the material being a liquid not provided for in groups H01L2224/293 - H01L2224/29391 H01L2224/29395with a principal constituent of the material being a gas not provided for in groups H01L2224/293 - H01L2224/29391 H01L2224/29398with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/29399Coating material H01L2224/294with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/29401the principal constituent melting at a temperature of less than 400°C H01L2224/29405Gallium [Ga] as principal constituent H01L2224/29409Indium [In] as principal constituent H01L2224/29411Tin [Sn] as principal constituent H01L2224/29413Bismuth [Bi] as principal constituent H01L2224/29414Thallium [Tl] as principal constituent H01L2224/29416Lead [Pb] as principal constituent H01L2224/29417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29418Zinc [Zn] as principal constituent H01L2224/2942Antimony [Sb] as principal constituent H01L2224/29423Magnesium [Mg] as principal constituent H01L2224/29424Aluminium [Al] as principal constituent H01L2224/29438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29439Silver [Ag] as principal constituent H01L2224/29444Gold [Au] as principal constituent H01L2224/29447Copper [Cu] as principal constituent H01L2224/29449Manganese [Mn] as principal constituent H01L2224/29455Nickel [Ni] as principal constituent H01L2224/29457Cobalt [Co] as principal constituent H01L2224/2946Iron [Fe] as principal constituent H01L2224/29463the principal constituent melting at a temperature of greater than 1550°C H01L2224/29464Palladium [Pd] as principal constituent H01L2224/29466Titanium [Ti] as principal constituent H01L2224/29469Platinum [Pt] as principal constituent H01L2224/2947Zirconium [Zr] as principal constituent H01L2224/29471Chromium [Cr] as principal constituent H01L2224/29472Vanadium [V] as principal constituent H01L2224/29473Rhodium [Rh] as principal constituent H01L2224/29476Ruthenium [Ru] as principal constituent H01L2224/29478Iridium [Ir] as principal constituent H01L2224/29479Niobium [Nb] as principal constituent H01L2224/2948Molybdenum [Mo] as principal constituent H01L2224/29481Tantalum [Ta] as principal constituent H01L2224/29483Rhenium [Re] as principal constituent H01L2224/29484Tungsten [W] as principal constituent H01L2224/29486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29487Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/29488 H01L2224/29488Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/2949with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/29491The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/29493with a principal constituent of the material being a solid not provided for in groups H01L2224/294 - H01L2224/29491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/29494with a principal constituent of the material being a liquid not provided for in groups H01L2224/294 - H01L2224/29491 H01L2224/29495with a principal constituent of the material being a gas not provided for in groups H01L2224/294 - H01L2224/29491 H01L2224/29498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/29499Shape or distribution of the fillers H01L2224/2954Coating H01L2224/29541Structure H01L2224/2955Shape H01L2224/29551being non uniform H01L2224/29552comprising protrusions or indentations H01L2224/29553at the bonding interface of the layer connector, i.e. on the surface of the layer connector H01L2224/2956Disposition H01L2224/29561On the entire surface of the core, i.e. integral coating H01L2224/29562On the entire exposed surface of the core H01L2224/29563Only on parts of the surface of the core, i.e. partial coating H01L2224/29564Only on the bonding interface of the layer connector H01L2224/29565Only outside the bonding interface of the layer connector H01L2224/29566Both on and outside the bonding interface of the layer connector H01L2224/2957Single coating layer H01L2224/29575Plural coating layers H01L2224/29576being mutually engaged together, e.g. through inserts H01L2224/29578being disposed next to each other, e.g. side-to-side arrangements H01L2224/2958being stacked H01L2224/29582Two-layer coating H01L2224/29583Three-layer coating H01L2224/29584Four-layer coating H01L2224/29599Material H01L2224/296with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/29601the principal constituent melting at a temperature of less than 400°C H01L2224/29605Gallium [Ga] as principal constituent H01L2224/29609Indium [In] as principal constituent H01L2224/29611Tin [Sn] as principal constituent H01L2224/29613Bismuth [Bi] as principal constituent H01L2224/29614Thallium [Tl] as principal constituent H01L2224/29616Lead [Pb] as principal constituent H01L2224/29617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29618Zinc [Zn] as principal constituent H01L2224/2962Antimony [Sb] as principal constituent H01L2224/29623Magnesium [Mg] as principal constituent H01L2224/29624Aluminium [Al] as principal constituent H01L2224/29638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29639Silver [Ag] as principal constituent H01L2224/29644Gold [Au] as principal constituent H01L2224/29647Copper [Cu] as principal constituent H01L2224/29649Manganese [Mn] as principal constituent H01L2224/29655Nickel [Ni] as principal constituent H01L2224/29657Cobalt [Co] as principal constituent H01L2224/2966Iron [Fe] as principal constituent H01L2224/29663the principal constituent melting at a temperature of greater than 1550°C H01L2224/29664Palladium [Pd] as principal constituent H01L2224/29666Titanium [Ti] as principal constituent H01L2224/29669Platinum [Pt] as principal constituent H01L2224/2967Zirconium [Zr] as principal constituent H01L2224/29671Chromium [Cr] as principal constituent H01L2224/29672Vanadium [V] as principal constituent H01L2224/29673Rhodium [Rh] as principal constituent H01L2224/29676Ruthenium [Ru] as principal constituent H01L2224/29678Iridium [Ir] as principal constituent H01L2224/29679Niobium [Nb] as principal constituent H01L2224/2968Molybdenum [Mo] as principal constituent H01L2224/29681Tantalum [Ta] as principal constituent H01L2224/29683Rhenium [Re] as principal constituent H01L2224/29684Tungsten [W] as principal constituent H01L2224/29686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29687Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/29688 H01L2224/29688Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/2969with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/29691The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/29693with a principal constituent of the material being a solid not provided for in groups H01L2224/296 - H01L2224/29691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/29694with a principal constituent of the material being a liquid not provided for in groups H01L2224/296 - H01L2224/29691 H01L2224/29695with a principal constituent of the material being a gas not provided for in groups H01L2224/296 - H01L2224/29691 H01L2224/29698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/29699Material of the matrix H01L2224/297with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/29701the principal constituent melting at a temperature of less than 400°C H01L2224/29705Gallium [Ga] as principal constituent H01L2224/29709Indium [In] as principal constituent H01L2224/29711Tin [Sn] as principal constituent H01L2224/29713Bismuth [Bi] as principal constituent H01L2224/29714Thallium [Tl] as principal constituent H01L2224/29716Lead [Pb] as principal constituent H01L2224/29717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29718Zinc [Zn] as principal constituent H01L2224/2972Antimony [Sb] as principal constituent H01L2224/29723Magnesium [Mg] as principal constituent H01L2224/29724Aluminium [Al] as principal constituent H01L2224/29738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29739Silver [Ag] as principal constituent H01L2224/29744Gold [Au] as principal constituent H01L2224/29747Copper [Cu] as principal constituent H01L2224/29749Manganese [Mn] as principal constituent H01L2224/29755Nickel [Ni] as principal constituent H01L2224/29757Cobalt [Co] as principal constituent H01L2224/2976Iron [Fe] as principal constituent H01L2224/29763the principal constituent melting at a temperature of greater than 1550°C H01L2224/29764Palladium [Pd] as principal constituent H01L2224/29766Titanium [Ti] as principal constituent H01L2224/29769Platinum [Pt] as principal constituent H01L2224/2977Zirconium [Zr] as principal constituent H01L2224/29771Chromium [Cr] as principal constituent H01L2224/29772Vanadium [V] as principal constituent H01L2224/29773Rhodium [Rh] as principal constituent H01L2224/29776Ruthenium [Ru] as principal constituent H01L2224/29778Iridium [Ir] as principal constituent H01L2224/29779Niobium [Nb] as principal constituent H01L2224/2978Molybdenum [Mo] as principal constituent H01L2224/29781Tantalum [Ta] as principal constituent H01L2224/29783Rhenium [Re] as principal constituent H01L2224/29784Tungsten [W] as principal constituent H01L2224/29786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29787Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/29788 H01L2224/29788Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/2979with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/29791The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/29793with a principal constituent of the material being a solid not provided for in groups H01L2224/297 - H01L2224/29791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/29794with a principal constituent of the material being a liquid not provided for in groups H01L2224/297 - H01L2224/29791 H01L2224/29795with a principal constituent of the material being a gas not provided for in groups H01L2224/297 - H01L2224/29791 H01L2224/29798Fillers H01L2224/29799Base material H01L2224/298with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/29801the principal constituent melting at a temperature of less than 400°C H01L2224/29805Gallium [Ga] as principal constituent H01L2224/29809Indium [In] as principal constituent H01L2224/29811Tin [Sn] as principal constituent H01L2224/29813Bismuth [Bi] as principal constituent H01L2224/29814Thallium [Tl] as principal constituent H01L2224/29816Lead [Pb] as principal constituent H01L2224/29817the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29818Zinc [Zn] as principal constituent H01L2224/2982Antimony [Sb] as principal constituent H01L2224/29823Magnesium [Mg] as principal constituent H01L2224/29824Aluminium [Al] as principal constituent H01L2224/29838the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29839Silver [Ag] as principal constituent H01L2224/29844Gold [Au] as principal constituent H01L2224/29847Copper [Cu] as principal constituent H01L2224/29849Manganese [Mn] as principal constituent H01L2224/29855Nickel [Ni] as principal constituent H01L2224/29857Cobalt [Co] as principal constituent H01L2224/2986Iron [Fe] as principal constituent H01L2224/29863the principal constituent melting at a temperature of greater than 1550°C H01L2224/29864Palladium [Pd] as principal constituent H01L2224/29866Titanium [Ti] as principal constituent H01L2224/29869Platinum [Pt] as principal constituent H01L2224/2987Zirconium [Zr] as principal constituent H01L2224/29871Chromium [Cr] as principal constituent H01L2224/29872Vanadium [V] as principal constituent H01L2224/29873Rhodium [Rh] as principal constituent H01L2224/29876Ruthenium [Ru] as principal constituent H01L2224/29878Iridium [Ir] as principal constituent H01L2224/29879Niobium [Nb] as principal constituent H01L2224/2988Molybdenum [Mo] as principal constituent H01L2224/29881Tantalum [Ta] as principal constituent H01L2224/29883Rhenium [Re] as principal constituent H01L2224/29884Tungsten [W] as principal constituent H01L2224/29886with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29887Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/29888 H01L2224/29888Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/2989with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/29891The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/29893with a principal constituent of the material being a solid not provided for in groups H01L2224/298 - H01L2224/29891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/29894with a principal constituent of the material being a liquid not provided for in groups H01L2224/298 - H01L2224/29891 H01L2224/29895with a principal constituent of the material being a gas not provided for in groups H01L2224/298 - H01L2224/29891 H01L2224/29898with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/29899Coating material H01L2224/299with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/29901the principal constituent melting at a temperature of less than 400°C H01L2224/29905Gallium [Ga] as principal constituent H01L2224/29909Indium [In] as principal constituent H01L2224/29911Tin [Sn] as principal constituent H01L2224/29913Bismuth [Bi] as principal constituent H01L2224/29914Thallium [Tl] as principal constituent H01L2224/29916Lead [Pb] as principal constituent H01L2224/29917the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29918Zinc [Zn] as principal constituent H01L2224/2992Antimony [Sb] as principal constituent H01L2224/29923Magnesium [Mg] as principal constituent H01L2224/29924Aluminium [Al] as principal constituent H01L2224/29938the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29939Silver [Ag] as principal constituent H01L2224/29944Gold [Au] as principal constituent H01L2224/29947Copper [Cu] as principal constituent H01L2224/29949Manganese [Mn] as principal constituent H01L2224/29955Nickel [Ni] as principal constituent H01L2224/29957Cobalt [Co] as principal constituent H01L2224/2996Iron [Fe] as principal constituent H01L2224/29963the principal constituent melting at a temperature of greater than 1550°C H01L2224/29964Palladium [Pd] as principal constituent H01L2224/29966Titanium [Ti] as principal constituent H01L2224/29969Platinum [Pt] as principal constituent H01L2224/2997Zirconium [Zr] as principal constituent H01L2224/29971Chromium [Cr] as principal constituent H01L2224/29972Vanadium [V] as principal constituent H01L2224/29973Rhodium [Rh] as principal constituent H01L2224/29976Ruthenium [Ru] as principal constituent H01L2224/29978Iridium [Ir] as principal constituent H01L2224/29979Niobium [Nb] as principal constituent H01L2224/2998Molybdenum [Mo] as principal constituent H01L2224/29981Tantalum [Ta] as principal constituent H01L2224/29983Rhenium [Re] as principal constituent H01L2224/29984Tungsten [W] as principal constituent H01L2224/29986with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29987Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/29988 H01L2224/29988Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/2999with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/29991The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/29993with a principal constituent of the material being a solid not provided for in groups H01L2224/299 - H01L2224/29991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/29994with a principal constituent of the material being a liquid not provided for in groups H01L2224/299 - H01L2224/29991 H01L2224/29995with a principal constituent of the material being a gas not provided for in groups H01L2224/299 - H01L2224/29991 H01L2224/29998with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/29999Shape or distribution of the fillers H01L2224/30of a plurality of layer connectors H01L2224/3001Structure H01L2224/3003Layer connectors having different sizes, e.g. different heights or widths H01L2224/3005Shape H01L2224/30051Layer connectors having different shapes H01L2224/301Disposition H01L2224/30104relative to the bonding areas, e.g. bond pads, of the semiconductor or solid-state body H01L2224/3011the layer connectors being bonded to at least one common bonding area H01L2224/3012Layout H01L2224/3013Square or rectangular array H01L2224/30131being uniform, i.e. having a uniform pitch across the array H01L2224/30132being non uniform, i.e. having a non uniform pitch across the array H01L2224/30133with a staggered arrangement, e.g. depopulated array H01L2224/30134covering only portions of the surface to be connected H01L2224/30135Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/30136Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/3014Circular array, i.e. array with radial symmetry H01L2224/30141being uniform, i.e. having a uniform pitch across the array H01L2224/30142being non uniform, i.e. having a non uniform pitch across the array H01L2224/30143covering only portions of the surface to be connected H01L2224/30145Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/30146Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/3015Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry H01L2224/30151being uniform, i.e. having a uniform pitch across the array H01L2224/30152being non uniform, i.e. having a non uniform pitch across the array H01L2224/30153with a staggered arrangement, e.g. depopulated array H01L2224/30154covering only portions of the surface to be connected H01L2224/30155Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/30156Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/3016Random layout, i.e. layout with no symmetry H01L2224/30163with a staggered arrangement H01L2224/30164covering only portions of the surface to be connected H01L2224/30165Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/30166Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/30177Combinations of arrays with different layouts H01L2224/30179Corner adaptations, i.e. disposition of the layer connectors at the corners of the semiconductor or solid-state body H01L2224/3018being disposed on at least two different sides of the body, e.g. dual array H01L2224/30181On opposite sides of the body H01L2224/30183On contiguous sides of the body H01L2224/305Material H01L2224/30505Layer connectors having different materials H01L2224/3051Function H01L2224/30515Layer connectors having different functions H01L2224/30517including layer connectors providing primarily mechanical bonding H01L2224/30519including layer connectors providing primarily thermal dissipation H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process H01L2224/32of an individual layer connector H01L2224/3201Structure H01L2224/32012relative to the bonding area, e.g. bond pad H01L2224/32013the layer connector being larger than the bonding area, e.g. bond pad H01L2224/32014the layer connector being smaller than the bonding area, e.g. bond pad H01L2224/3205Shape H01L2224/32052in top view H01L2224/32053being non uniform along the layer connector H01L2224/32054being rectangular or square H01L2224/32055being circular or elliptic H01L2224/32056comprising protrusions or indentations H01L2224/32057in side view H01L2224/32058being non uniform along the layer connector H01L2224/32059comprising protrusions or indentations H01L2224/3207of bonding interfaces, e.g. interlocking features H01L2224/321Disposition H01L2224/32104relative to the bonding area, e.g. bond pad H01L2224/32105the layer connector connecting bonding areas being not aligned with respect to each other H01L2224/32106the layer connector connecting one bonding area to at least two respective bonding areas H01L2224/32111the layer connector being disposed in a recess of the surface H01L2224/32112the layer connector being at least partially embedded in the surface H01L2224/32113the whole layer connector protruding from the surface H01L2224/3213the layer connector connecting within a semiconductor or solid-state body, i.e. connecting two bonding areas on the same semiconductor or solid-state body H01L2224/32135the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip H01L2224/32137the bodies being arranged next to each other, e.g. on a common substrate H01L2224/32141the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements H01L2224/32145the bodies being stacked H01L2224/32146the layer connector connecting to a via connection in the semiconductor or solid-state body H01L2224/32147the layer connector connecting to a bonding area disposed in a recess of the surface H01L2224/32148the layer connector connecting to a bonding area protruding from the surface H01L2224/32151the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive H01L2224/32153the body and the item being arranged next to each other, e.g. on a common substrate H01L2224/32155the item being non-metallic, e.g. being an insulating substrate with or without metallisation H01L2224/32157the layer connector connecting to a bond pad of the item H01L2224/3216the layer connector connecting to a pin of the item H01L2224/32163the layer connector connecting to a potential ring of the item H01L2224/32165the layer connector connecting to a via metallisation of the item H01L2224/32167the layer connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/32168the layer connector connecting to a bonding area protruding from the surface of the item H01L2224/32175the item being metallic H01L2224/32183the layer connector connecting to a potential ring of the item H01L2224/32187the layer connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/32188the layer connector connecting to a bonding area protruding from the surface of the item H01L2224/32195the item being a discrete passive component H01L2224/32197the layer connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/32198the layer connector connecting to a bonding area protruding from the surface of the item H01L2224/32221the body and the item being stacked H01L2224/32225the item being non-metallic, e.g. insulating substrate with or without metallisation H01L2224/32227the layer connector connecting to a bond pad of the item H01L2224/3223the layer connector connecting to a pin of the item H01L2224/32233the layer connector connecting to a potential ring of the item H01L2224/32235the layer connector connecting to a via metallisation of the item H01L2224/32237the layer connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/32238the layer connector connecting to a bonding area protruding from the surface of the item H01L2224/3224the layer connector connecting between the body and an opposite side of the item with respect to the body H01L2224/32245the item being metallic H01L2224/32253the layer connector connecting to a potential ring of the item H01L2224/32257the layer connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/32258the layer connector connecting to a bonding area protruding from the surface of the item H01L2224/3226the layer connector connecting between the body and an opposite side of the item with respect to the body H01L2224/32265the item being a discrete passive component H01L2224/32267the layer connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/32268the layer connector connecting to a bonding area protruding from the surface of the item H01L2224/325Material H01L2224/32501at the bonding interface H01L2224/32502comprising an eutectic alloy H01L2224/32503comprising an intermetallic compound H01L2224/32505outside the bonding interface, e.g. in the bulk of the layer connector H01L2224/32506comprising an eutectic alloy H01L2224/32507comprising an intermetallic compound H01L2224/33of a plurality of layer connectors H01L2224/3301Structure H01L2224/3303Layer connectors having different sizes, e.g. different heights or widths H01L2224/3305Shape H01L2224/33051Layer connectors having different shapes H01L2224/33055of their bonding interfaces H01L2224/331Disposition H01L2224/33104relative to the bonding areas, e.g. bond pads H01L2224/33106the layer connectors being bonded to at least one common bonding area H01L2224/33107the layer connectors connecting two common bonding areas H01L2224/3312Layout layout of layer connectors prior to the connecting process H01L2224/3012 H01L2224/3313Square or rectangular array H01L2224/33132being non uniform, i.e. having a non uniform pitch across the array H01L2224/33133with a staggered arrangement, e.g. depopulated array H01L2224/33134covering only portions of the surface to be connected H01L2224/33135Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/3314Circular array, i.e. array with radial symmetry H01L2224/33142being non uniform, i.e. having a non uniform pitch across the array H01L2224/33143with a staggered arrangement H01L2224/33144covering only portions of the surface to be connected H01L2224/33145Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/3315Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry H01L2224/33151being uniform, i.e. having a uniform pitch across the array H01L2224/33152being non uniform, i.e. having a non uniform pitch across the array H01L2224/33153with a staggered arrangement, e.g. depopulated array H01L2224/33154covering only portions of the surface to be connected H01L2224/33155Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/33156Covering only the central area of the surface to be connected, i.e. central arrangements H01L2224/3316Random layout, i.e. layout with no symmetry H01L2224/33163with a staggered arrangement H01L2224/33164covering only portions of the surface to be connected H01L2224/33165Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements H01L2224/33177Combinations of arrays with different layouts H01L2224/33179Corner adaptations, i.e. disposition of the layer connectors at the corners of the semiconductor or solid-state body H01L2224/3318being disposed on at least two different sides of the body, e.g. dual array H01L2224/33181On opposite sides of the body H01L2224/33183On contiguous sides of the body H01L2224/335Material H01L2224/33505Layer connectors having different materials H01L2224/3351Function H01L2224/33515Layer connectors having different functions H01L2224/33517including layer connectors providing primarily mechanical support H01L2224/33519including layer connectors providing primarily thermal dissipation H01L2224/34Strap connectors, e.g. copper straps for grounding power devicesManufacturing methods related thereto H01L2224/35Manufacturing methods H01L2224/35001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate H01L2224/351Pre-treatment of the preform connector H01L2224/3512Applying permanent coating, e.g. in-situ coating H01L2224/35125Plating, e.g. electroplating, electroless plating H01L2224/352Mechanical processes H01L2224/3521Pulling H01L2224/355Modification of a pre-existing material H01L2224/3551Sintering H01L2224/3552Anodisation H01L2224/357Involving monitoring, e.g. feedback loop H01L2224/358Post-treatment of the connector H01L2224/3581Cleaning, e.g. oxide removal step, desmearing H01L2224/3582Applying permanent coating, e.g. in-situ coating H01L2224/35821Spray coating H01L2224/35822Dip coating H01L2224/35823Immersion coating, e.g. solder bath H01L2224/35824Chemical solution deposition [CSD], i.e. using a liquid precursor H01L2224/35825Plating, e.g. electroplating, electroless plating H01L2224/35826Physical vapour deposition [PVD], e.g. evaporation, sputtering H01L2224/35827Chemical vapour deposition [CVD], e.g. laser CVD H01L2224/3583Reworking H01L2224/35831with a chemical process, e.g. with etching of the connector H01L2224/35847with a mechanical process, e.g. with flattening of the connector H01L2224/35848Thermal treatments, e.g. annealing, controlled cooling H01L2224/35985Methods of manufacturing strap connectors involving a specific sequence of method steps H01L2224/35986with repetition of the same manufacturing step H01L2224/36Structure, shape, material or disposition of the strap connectors prior to the connecting process H01L2224/37of an individual strap connector H01L2224/37001Core members of the connector H01L2224/37005Structure H01L2224/3701Shape H01L2224/37011comprising apertures or cavities H01L2224/37012Cross-sectional shape H01L2224/37013being non uniform along the connector H01L2224/3702Disposition H01L2224/37025Plural core members H01L2224/37026being mutually engaged together, e.g. through inserts H01L2224/37028Side-to-side arrangements H01L2224/3703Stacked arrangements H01L2224/37032Two-layer arrangements H01L2224/37033Three-layer arrangements H01L2224/37034Four-layer arrangements H01L2224/37099Material H01L2224/371with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/37101the principal constituent melting at a temperature of less than 400°C H01L2224/37105Gallium [Ga] as principal constituent H01L2224/37109Indium [In] as principal constituent H01L2224/37111Tin [Sn] as principal constituent H01L2224/37113Bismuth [Bi] as principal constituent H01L2224/37114Thallium [Tl] as principal constituent H01L2224/37116Lead [Pb] as principal constituent H01L2224/37117the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37118Zinc [Zn] as principal constituent H01L2224/3712Antimony [Sb] as principal constituent H01L2224/37123Magnesium [Mg] as principal constituent H01L2224/37124Aluminium [Al] as principal constituent H01L2224/37138the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37139Silver [Ag] as principal constituent H01L2224/37144Gold [Au] as principal constituent H01L2224/37147Copper [Cu] as principal constituent H01L2224/37149Manganese [Mn] as principal constituent H01L2224/37155Nickel [Ni] as principal constituent H01L2224/37157Cobalt [Co] as principal constituent H01L2224/3716Iron [Fe] as principal constituent H01L2224/37163the principal constituent melting at a temperature of greater than 1550°C H01L2224/37164Palladium [Pd] as principal constituent H01L2224/37166Titanium [Ti] as principal constituent H01L2224/37169Platinum [Pt] as principal constituent H01L2224/3717Zirconium [Zr] as principal constituent H01L2224/37171Chromium [Cr] as principal constituent H01L2224/37172Vanadium [V] as principal constituent H01L2224/37173Rhodium [Rh] as principal constituent H01L2224/37176Ruthenium [Ru] as principal constituent H01L2224/37178Iridium [Ir] as principal constituent H01L2224/37179Niobium [Nb] as principal constituent H01L2224/3718Molybdenum [Mo] as principal constituent H01L2224/37181Tantalum [Ta] as principal constituent H01L2224/37183Rhenium [Re] as principal constituent H01L2224/37184Tungsten [W] as principal constituent H01L2224/37186with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37187Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/37188 H01L2224/37188Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/3719with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/37191The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/37193with a principal constituent of the material being a solid not provided for in groups H01L2224/371 - H01L2224/37191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/37194with a principal constituent of the material being a liquid not provided for in groups H01L2224/371 - H01L2224/37191 H01L2224/37195with a principal constituent of the material being a gas not provided for in groups H01L2224/371 - H01L2224/37191 H01L2224/37198with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/37199Material of the matrix H01L2224/372with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/37201the principal constituent melting at a temperature of less than 400°C H01L2224/37205Gallium [Ga] as principal constituent H01L2224/37209Indium [In] as principal constituent H01L2224/37211Tin [Sn] as principal constituent H01L2224/37213Bismuth [Bi] as principal constituent H01L2224/37214Thallium [Tl] as principal constituent H01L2224/37216Lead [Pb] as principal constituent H01L2224/37217the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37218Zinc [Zn] as principal constituent H01L2224/3722Antimony [Sb] as principal constituent H01L2224/37223Magnesium [Mg] as principal constituent H01L2224/37224Aluminium [Al] as principal constituent H01L2224/37238the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37239Silver [Ag] as principal constituent H01L2224/37244Gold [Au] as principal constituent H01L2224/37247Copper [Cu] as principal constituent H01L2224/37249Manganese [Mn] as principal constituent H01L2224/37255Nickel [Ni] as principal constituent H01L2224/37257Cobalt [Co] as principal constituent H01L2224/3726Iron [Fe] as principal constituent H01L2224/37263the principal constituent melting at a temperature of greater than 1550°C H01L2224/37264Palladium [Pd] as principal constituent H01L2224/37266Titanium [Ti] as principal constituent H01L2224/37269Platinum [Pt] as principal constituent H01L2224/3727Zirconium [Zr] as principal constituent H01L2224/37271Chromium [Cr] as principal constituent H01L2224/37272Vanadium [V] as principal constituent H01L2224/37273Rhodium [Rh] as principal constituent H01L2224/37276Ruthenium [Ru] as principal constituent H01L2224/37278Iridium [Ir] as principal constituent H01L2224/37279Niobium [Nb] as principal constituent H01L2224/3728Molybdenum [Mo] as principal constituent H01L2224/37281Tantalum [Ta] as principal constituent H01L2224/37283Rhenium [Re] as principal constituent H01L2224/37284Tungsten [W] as principal constituent H01L2224/37286with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37287Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/37288 H01L2224/37288Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/3729with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/37291The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/37293with a principal constituent of the material being a solid not provided for in groups H01L2224/372 - H01L2224/37291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/37294with a principal constituent of the material being a liquid not provided for in groups H01L2224/372 - H01L2224/37291 H01L2224/37295with a principal constituent of the material being a gas not provided for in groups H01L2224/372 - H01L2224/37291 H01L2224/37298Fillers H01L2224/37299Base material H01L2224/373with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/37301the principal constituent melting at a temperature of less than 400°C H01L2224/37305Gallium [Ga] as principal constituent H01L2224/37309Indium [In] as principal constituent H01L2224/37311Tin [Sn] as principal constituent H01L2224/37313Bismuth [Bi] as principal constituent H01L2224/37314Thallium [Tl] as principal constituent H01L2224/37316Lead [Pb] as principal constituent H01L2224/37317the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37318Zinc [Zn] as principal constituent H01L2224/3732Antimony [Sb] as principal constituent H01L2224/37323Magnesium [Mg] as principal constituent H01L2224/37324Aluminium [Al] as principal constituent H01L2224/37338the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37339Silver [Ag] as principal constituent H01L2224/37344Gold [Au] as principal constituent H01L2224/37347Copper [Cu] as principal constituent H01L2224/37349Manganese [Mn] as principal constituent H01L2224/37355Nickel [Ni] as principal constituent H01L2224/37357Cobalt [Co] as principal constituent H01L2224/3736Iron [Fe] as principal constituent H01L2224/37363the principal constituent melting at a temperature of greater than 1550°C H01L2224/37364Palladium [Pd] as principal constituent H01L2224/37366Titanium [Ti] as principal constituent H01L2224/37369Platinum [Pt] as principal constituent H01L2224/3737Zirconium [Zr] as principal constituent H01L2224/37371Chromium [Cr] as principal constituent H01L2224/37372Vanadium [V] as principal constituent H01L2224/37373Rhodium [Rh] as principal constituent H01L2224/37376Ruthenium [Ru] as principal constituent H01L2224/37378Iridium [Ir] as principal constituent H01L2224/37379Niobium [Nb] as principal constituent H01L2224/3738Molybdenum [Mo] as principal constituent H01L2224/37381Tantalum [Ta] as principal constituent H01L2224/37383Rhenium [Re] as principal constituent H01L2224/37384Tungsten [W] as principal constituent H01L2224/37386with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37387Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/37388 H01L2224/37388Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/3739with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/37391The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/37393with a principal constituent of the material being a solid not provided for in groups H01L2224/373 - H01L2224/37391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/37394with a principal constituent of the material being a liquid not provided for in groups H01L2224/373 - H01L2224/37391 H01L2224/37395with a principal constituent of the material being a gas not provided for in groups H01L2224/373 - H01L2224/37391 H01L2224/37398with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/37399Coating material H01L2224/374with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/37401the principal constituent melting at a temperature of less than 400°C H01L2224/37405Gallium [Ga] as principal constituent H01L2224/37409Indium [In] as principal constituent H01L2224/37411Tin [Sn] as principal constituent H01L2224/37413Bismuth [Bi] as principal constituent H01L2224/37414Thallium [Tl] as principal constituent H01L2224/37416Lead [Pb] as principal constituent H01L2224/37417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37418Zinc [Zn] as principal constituent H01L2224/3742Antimony [Sb] as principal constituent H01L2224/37423Magnesium [Mg] as principal constituent H01L2224/37424Aluminium [Al] as principal constituent H01L2224/37438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37439Silver [Ag] as principal constituent H01L2224/37444Gold [Au] as principal constituent H01L2224/37447Copper [Cu] as principal constituent H01L2224/37449Manganese [Mn] as principal constituent H01L2224/37455Nickel [Ni] as principal constituent H01L2224/37457Cobalt [Co] as principal constituent H01L2224/3746Iron [Fe] as principal constituent H01L2224/37463the principal constituent melting at a temperature of greater than 1550°C H01L2224/37464Palladium [Pd] as principal constituent H01L2224/37466Titanium [Ti] as principal constituent H01L2224/37469Platinum [Pt] as principal constituent H01L2224/3747Zirconium [Zr] as principal constituent H01L2224/37471Chromium [Cr] as principal constituent H01L2224/37472Vanadium [V] as principal constituent H01L2224/37473Rhodium [Rh] as principal constituent H01L2224/37476Ruthenium [Ru] as principal constituent H01L2224/37478Iridium [Ir] as principal constituent H01L2224/37479Niobium [Nb] as principal constituent H01L2224/3748Molybdenum [Mo] as principal constituent H01L2224/37481Tantalum [Ta] as principal constituent H01L2224/37483Rhenium [Re] as principal constituent H01L2224/37484Tungsten [W] as principal constituent H01L2224/37486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37487Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/37488 H01L2224/37488Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/3749with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/37491The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/37493with a principal constituent of the material being a solid not provided for in groups H01L2224/374 - H01L2224/37491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/37494with a principal constituent of the material being a liquid not provided for in groups H01L2224/374 - H01L2224/37491 H01L2224/37495with a principal constituent of the material being a gas not provided for in groups H01L2224/374 - H01L2224/37491 H01L2224/37498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/37499Shape or distribution of the fillers H01L2224/3754Coating H01L2224/37541Structure H01L2224/3755Shape H01L2224/3756Disposition, e.g. coating on a part of the core H01L2224/37565Single coating layer H01L2224/3757Plural coating layers H01L2224/37572Two-layer stack coating H01L2224/37573Three-layer stack coating H01L2224/37574Four-layer stack coating H01L2224/37576being mutually engaged together, e.g. through inserts H01L2224/37578being disposed next to each other, e.g. side-to-side arrangements H01L2224/37599Material H01L2224/376with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/37601the principal constituent melting at a temperature of less than 400°C H01L2224/37605Gallium [Ga] as principal constituent H01L2224/37609Indium [In] as principal constituent H01L2224/37611Tin [Sn] as principal constituent H01L2224/37613Bismuth [Bi] as principal constituent H01L2224/37614Thallium [Tl] as principal constituent H01L2224/37616Lead [Pb] as principal constituent H01L2224/37617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37618Zinc [Zn] as principal constituent H01L2224/3762Antimony [Sb] as principal constituent H01L2224/37623Magnesium [Mg] as principal constituent H01L2224/37624Aluminium [Al] as principal constituent H01L2224/37638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37639Silver [Ag] as principal constituent H01L2224/37644Gold [Au] as principal constituent H01L2224/37647Copper [Cu] as principal constituent H01L2224/37649Manganese [Mn] as principal constituent H01L2224/37655Nickel [Ni] as principal constituent H01L2224/37657Cobalt [Co] as principal constituent H01L2224/3766Iron [Fe] as principal constituent H01L2224/37663the principal constituent melting at a temperature of greater than 1550°C H01L2224/37664Palladium [Pd] as principal constituent H01L2224/37666Titanium [Ti] as principal constituent H01L2224/37669Platinum [Pt] as principal constituent H01L2224/3767Zirconium [Zr] as principal constituent H01L2224/37671Chromium [Cr] as principal constituent H01L2224/37672Vanadium [V] as principal constituent H01L2224/37673Rhodium [Rh] as principal constituent H01L2224/37676Ruthenium [Ru] as principal constituent H01L2224/37678Iridium [Ir] as principal constituent H01L2224/37679Niobium [Nb] as principal constituent H01L2224/3768Molybdenum [Mo] as principal constituent H01L2224/37681Tantalum [Ta] as principal constituent H01L2224/37683Rhenium [Re] as principal constituent H01L2224/37684Tungsten [W] as principal constituent H01L2224/37686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37687Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/37688 H01L2224/37688Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/3769with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/37691The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/37693with a principal constituent of the material being a solid not provided for in groups H01L2224/376 - H01L2224/37691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/37694with a principal constituent of the material being a liquid not provided for in groups H01L2224/376 - H01L2224/37691 H01L2224/37695with a principal constituent of the material being a gas not provided for in groups H01L2224/376 - H01L2224/37691 H01L2224/37698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/37699Material of the matrix H01L2224/377with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/37701the principal constituent melting at a temperature of less than 400°C H01L2224/37705Gallium [Ga] as principal constituent H01L2224/37709Indium [In] as principal constituent H01L2224/37711Tin [Sn] as principal constituent H01L2224/37713Bismuth [Bi] as principal constituent H01L2224/37714Thallium [Tl] as principal constituent H01L2224/37716Lead [Pb] as principal constituent H01L2224/37717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37718Zinc [Zn] as principal constituent H01L2224/3772Antimony [Sb] as principal constituent H01L2224/37723Magnesium [Mg] as principal constituent H01L2224/37724Aluminium [Al] as principal constituent H01L2224/37738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37739Silver [Ag] as principal constituent H01L2224/37744Gold [Au] as principal constituent H01L2224/37747Copper [Cu] as principal constituent H01L2224/37749Manganese [Mn] as principal constituent H01L2224/37755Nickel [Ni] as principal constituent H01L2224/37757Cobalt [Co] as principal constituent H01L2224/3776Iron [Fe] as principal constituent H01L2224/37763the principal constituent melting at a temperature of greater than 1550°C H01L2224/37764Palladium [Pd] as principal constituent H01L2224/37766Titanium [Ti] as principal constituent H01L2224/37769Platinum [Pt] as principal constituent H01L2224/3777Zirconium [Zr] as principal constituent H01L2224/37771Chromium [Cr] as principal constituent H01L2224/37772Vanadium [V] as principal constituent H01L2224/37773Rhodium [Rh] as principal constituent H01L2224/37776Ruthenium [Ru] as principal constituent H01L2224/37778Iridium [Ir] as principal constituent H01L2224/37779Niobium [Nb] as principal constituent H01L2224/3778Molybdenum [Mo] as principal constituent H01L2224/37781Tantalum [Ta] as principal constituent H01L2224/37783Rhenium [Re] as principal constituent H01L2224/37784Tungsten [W] as principal constituent H01L2224/37786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37787Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/37788 H01L2224/37788Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/3779with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/37791The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/37793with a principal constituent of the material being a solid not provided for in groups H01L2224/377 - H01L2224/37791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/37794with a principal constituent of the material being a liquid not provided for in groups H01L2224/377 - H01L2224/37791 H01L2224/37795with a principal constituent of the material being a gas not provided for in groups H01L2224/377 - H01L2224/37791 H01L2224/37798Fillers H01L2224/37799Base material H01L2224/378with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/37801the principal constituent melting at a temperature of less than 400°C H01L2224/37805Gallium [Ga] as principal constituent H01L2224/37809Indium [In] as principal constituent H01L2224/37811Tin [Sn] as principal constituent H01L2224/37813Bismuth [Bi] as principal constituent H01L2224/37814Thallium [Tl] as principal constituent H01L2224/37816Lead [Pb] as principal constituent H01L2224/37817the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37818Zinc [Zn] as principal constituent H01L2224/3782Antimony [Sb] as principal constituent H01L2224/37823Magnesium [Mg] as principal constituent H01L2224/37824Aluminium [Al] as principal constituent H01L2224/37838the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37839Silver [Ag] as principal constituent H01L2224/37844Gold [Au] as principal constituent H01L2224/37847Copper [Cu] as principal constituent H01L2224/37849Manganese [Mn] as principal constituent H01L2224/37855Nickel [Ni] as principal constituent H01L2224/37857Cobalt [Co] as principal constituent H01L2224/3786Iron [Fe] as principal constituent H01L2224/37863the principal constituent melting at a temperature of greater than 1550°C H01L2224/37864Palladium [Pd] as principal constituent H01L2224/37866Titanium [Ti] as principal constituent H01L2224/37869Platinum [Pt] as principal constituent H01L2224/3787Zirconium [Zr] as principal constituent H01L2224/37871Chromium [Cr] as principal constituent H01L2224/37872Vanadium [V] as principal constituent H01L2224/37873Rhodium [Rh] as principal constituent H01L2224/37876Ruthenium [Ru] as principal constituent H01L2224/37878Iridium [Ir] as principal constituent H01L2224/37879Niobium [Nb] as principal constituent H01L2224/3788Molybdenum [Mo] as principal constituent H01L2224/37881Tantalum [Ta] as principal constituent H01L2224/37883Rhenium [Re] as principal constituent H01L2224/37884Tungsten [W] as principal constituent H01L2224/37886with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37887Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/37888 H01L2224/37888Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/3789with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/37891The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/37893with a principal constituent of the material being a solid not provided for in groups H01L2224/378 - H01L2224/37891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/37894with a principal constituent of the material being a liquid not provided for in groups H01L2224/378 - H01L2224/37891 H01L2224/37895with a principal constituent of the material being a gas not provided for in groups H01L2224/378 - H01L2224/37891 H01L2224/37898with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/37899Coating material H01L2224/379with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/37901the principal constituent melting at a temperature of less than 400°C H01L2224/37905Gallium [Ga] as principal constituent H01L2224/37909Indium [In] as principal constituent H01L2224/37911Tin [Sn] as principal constituent H01L2224/37913Bismuth [Bi] as principal constituent H01L2224/37914Thallium [Tl] as principal constituent H01L2224/37916Lead [Pb] as principal constituent H01L2224/37917the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37918Zinc [Zn] as principal constituent H01L2224/3792Antimony [Sb] as principal constituent H01L2224/37923Magnesium [Mg] as principal constituent H01L2224/37924Aluminium [Al] as principal constituent H01L2224/37938the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37939Silver [Ag] as principal constituent H01L2224/37944Gold [Au] as principal constituent H01L2224/37947Copper [Cu] as principal constituent H01L2224/37949Manganese [Mn] as principal constituent H01L2224/37955Nickel [Ni] as principal constituent H01L2224/37957Cobalt [Co] as principal constituent H01L2224/3796Iron [Fe] as principal constituent H01L2224/37963the principal constituent melting at a temperature of greater than 1550°C H01L2224/37964Palladium [Pd] as principal constituent H01L2224/37966Titanium [Ti] as principal constituent H01L2224/37969Platinum [Pt] as principal constituent H01L2224/3797Zirconium [Zr] as principal constituent H01L2224/37971Chromium [Cr] as principal constituent H01L2224/37972Vanadium [V] as principal constituent H01L2224/37973Rhodium [Rh] as principal constituent H01L2224/37976Ruthenium [Ru] as principal constituent H01L2224/37978Iridium [Ir] as principal constituent H01L2224/37979Niobium [Nb] as principal constituent H01L2224/3798Molybdenum [Mo] as principal constituent H01L2224/37981Tantalum [Ta] as principal constituent H01L2224/37983Rhenium [Re] as principal constituent H01L2224/37984Tungsten [W] as principal constituent H01L2224/37986with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37987Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/37988 H01L2224/37988Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/3799with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/37991The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/37993with a principal constituent of the material being a solid not provided for in groups H01L2224/379 - H01L2224/37991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/37994with a principal constituent of the material being a liquid not provided for in groups H01L2224/379 - H01L2224/37991 H01L2224/37995with a principal constituent of the material being a gas not provided for in groups H01L2224/379 - H01L2224/37991 H01L2224/37998with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/37999Shape or distribution of the fillers H01L2224/38of a plurality of strap connectors H01L2224/39Structure, shape, material or disposition of the strap connectors after the connecting process H01L2224/40of an individual strap connector H01L2224/4001Structure H01L2224/4005Shape H01L2224/4007of bonding interfaces, e.g. interlocking features H01L2224/4009Loop shape H01L2224/40091Arched H01L2224/40095Kinked H01L2224/401Disposition H01L2224/40101Connecting bonding areas at the same height, e.g. horizontal bond H01L2224/40105Connecting bonding areas at different heights H01L2224/40106the connector being orthogonal to a side surface of the semiconductor or solid-state body, e.g. parallel layout H01L2224/40108the connector not being orthogonal to a side surface of the semiconductor or solid-state body, e.g. fanned-out connectors, radial layout H01L2224/40111the strap connector extending above another semiconductor or solid-state body H01L2224/4013Connecting within a semiconductor or solid-state body, i.e. fly strap, bridge strap H01L2224/40132with an intermediate bond, e.g. continuous strap daisy chain H01L2224/40135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip H01L2224/40137the bodies being arranged next to each other, e.g. on a common substrate H01L2224/40139with an intermediate bond, e.g. continuous strap daisy chain H01L2224/40141the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements H01L2224/40145the bodies being stacked H01L2224/40147with an intermediate bond, e.g. continuous strap daisy chain H01L2224/40151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive H01L2224/40153the body and the item being arranged next to each other, e.g. on a common substrate H01L2224/40155the item being non-metallic, e.g. insulating substrate with or without metallisation H01L2224/40157Connecting the strap to a bond pad of the item H01L2224/40158the bond pad being disposed in a recess of the surface of the item H01L2224/40159the bond pad protruding from the surface of the item H01L2224/4016Connecting the strap to a pin of the item H01L2224/40163Connecting the strap to a potential ring of the item H01L2224/40165Connecting the strap to a via metallisation of the item H01L2224/40175the item being metallic H01L2224/40177Connecting the strap to a bond pad of the item H01L2224/40178the bond pad being disposed in a recess of the surface of the item H01L2224/40179the bond pad protruding from the surface of the item H01L2224/40183Connecting the strap to a potential ring of the item H01L2224/40195the item being a discrete passive component H01L2224/40221the body and the item being stacked H01L2224/40225the item being non-metallic, e.g. insulating substrate with or without metallisation H01L2224/40227Connecting the strap to a bond pad of the item H01L2224/40228the bond pad being disposed in a recess of the surface of the item H01L2224/40229the bond pad protruding from the surface of the item H01L2224/4023Connecting the strap to a pin of the item H01L2224/40233Connecting the strap to a potential ring of the item H01L2224/40235Connecting the strap to a via metallisation of the item H01L2224/40237Connecting the strap to a die pad of the item H01L2224/4024Connecting between the body and an opposite side of the item with respect to the body H01L2224/40245the item being metallic H01L2224/40247Connecting the strap to a bond pad of the item H01L2224/40248the bond pad being disposed in a recess of the surface of the item H01L2224/40249the bond pad protruding from the surface of the item H01L2224/40253Connecting the strap to a potential ring of the item H01L2224/40257Connecting the strap to a die pad of the item H01L2224/4026Connecting between the body and an opposite side of the item with respect to the body H01L2224/40265the item being a discrete passive component H01L2224/404Connecting portions H01L2224/4046with multiple bonds on the same bonding area H01L2224/40475connected to auxiliary connecting means on the bonding areas H01L2224/40477being a pre-ball (i.e. a ball formed by capillary bonding) H01L2224/40479on the semiconductor or solid-state body H01L2224/4048outside the semiconductor or solid-state body H01L2224/40484being a plurality of pre-balls disposed side-to-side H01L2224/40486on the semiconductor or solid-state body H01L2224/40487outside the semiconductor or solid-state body H01L2224/40491being an additional member attached to the bonding area through an adhesive or solder, e.g. buffer pad H01L2224/40496not being interposed between the connector and the bonding area H01L2224/40499Material of the auxiliary connecting means H01L2224/405Material H01L2224/40505at the bonding interface H01L2224/40506comprising an eutectic alloy H01L2224/40507comprising an intermetallic compound H01L2224/4051Morphology of the connecting portion, e.g. grain size distribution H01L2224/4052Bonding interface between the connecting portion and the bonding area H01L2224/4099Auxiliary members for strap connectors, e.g. flow-barriers, spacers H01L2224/40991being formed on the semiconductor or solid-state body to be connected H01L2224/40992Reinforcing structures H01L2224/40993Alignment aids H01L2224/40996being formed on an item to be connected not being a semiconductor or solid-state body H01L2224/40997Reinforcing structures H01L2224/40998Alignment aids H01L2224/41of a plurality of strap connectors H01L2224/4101Structure H01L2224/4103Connectors having different sizes H01L2224/4105Shape H01L2224/41051Connectors having different shapes H01L2224/41052Different loop heights H01L2224/411Disposition H01L2224/41105Connecting at different heights H01L2224/41107on the semiconductor or solid-state body being H01L2224/41109outside the semiconductor or solid-state body H01L2224/4111the connectors being bonded to at least one common bonding area, e.g. daisy chain H01L2224/41111the connectors connecting two common bonding areas H01L2224/41112the connectors connecting a common bonding area on the semiconductor or solid-state body to different bonding areas outside the body, e.g. diverging straps H01L2224/41113the connectors connecting different bonding areas on the semiconductor or solid-state body to a common bonding area outside the body, e.g. converging straps H01L2224/4112Layout H01L2224/4117Crossed straps H01L2224/41171Fan-out arrangements H01L2224/41173Radial fan-out arrangements H01L2224/41174Stacked arrangements H01L2224/41175Parallel arrangements H01L2224/41176Strap connectors having the same loop shape and height H01L2224/41177Combinations of different arrangements H01L2224/41179Corner adaptations, i.e. disposition of the strap connectors at the corners of the semiconductor or solid-state body H01L2224/4118being disposed on at least two different sides of the body, e.g. dual array H01L2224/414Connecting portions H01L2224/4141the connecting portions being stacked H01L2224/41421on the semiconductor or solid-state body H01L2224/41422outside the semiconductor or solid-state body H01L2224/4143the connecting portions being staggered H01L2224/415Material H01L2224/41505Connectors having different materials H01L2224/42Wire connectorsManufacturing methods related thereto H01L2224/43Manufacturing methods H01L2224/43001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate H01L2224/431Pre-treatment of the preform connector H01L2224/4312Applying permanent coating, e.g. in-situ coating H01L2224/43125Plating, e.g. electroplating, electroless plating H01L2224/432Mechanical processes H01L2224/4321Pulling H01L2224/435Modification of a pre-existing material H01L2224/4351Sintering H01L2224/4352Anodisation H01L2224/437Involving monitoring, e.g. feedback loop H01L2224/438Post-treatment of the connector H01L2224/4381Cleaning, e.g. oxide removal step, desmearing H01L2224/4382Applying permanent coating, e.g. in-situ coating H01L2224/43821Spray coating H01L2224/43822Dip coating H01L2224/43823Immersion coating, e.g. solder bath H01L2224/43824Chemical solution deposition [CSD], i.e. using a liquid precursor H01L2224/43825Plating, e.g. electroplating, electroless plating H01L2224/43826Physical vapour deposition [PVD], e.g. evaporation, sputtering H01L2224/43827Chemical vapour deposition [CVD], e.g. laser CVD H01L2224/4383Reworking H01L2224/43831with a chemical process, e.g. with etching of the connector H01L2224/43847with a mechanical process, e.g. with flattening of the connector H01L2224/43848Thermal treatments, e.g. annealing, controlled cooling H01L2224/43985Methods of manufacturing wire connectors involving a specific sequence of method steps H01L2224/43986with repetition of the same manufacturing step H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process H01L2224/45of an individual wire connector H01L2224/45001Core members of the connector H01L2224/45005Structure H01L2224/4501Shape H01L2224/45012Cross-sectional shape H01L2224/45013being non uniform along the connector H01L2224/45014Ribbon connectors, e.g. rectangular cross-section H01L2224/45015being circular H01L2224/45016being elliptic H01L2224/4502Disposition H01L2224/45025Plural core members H01L2224/45026being mutually engaged together, e.g. through inserts H01L2224/45028Side-to-side arrangements H01L2224/4503Stacked arrangements H01L2224/45032Two-layer arrangements H01L2224/45033Three-layer arrangements H01L2224/45034Four-layer arrangements H01L2224/45099Material H01L2224/451with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/45101the principal constituent melting at a temperature of less than 400°C H01L2224/45105Gallium (Ga) as principal constituent H01L2224/45109Indium (In) as principal constituent H01L2224/45111Tin (Sn) as principal constituent H01L2224/45113Bismuth (Bi) as principal constituent H01L2224/45114Thallium (Tl) as principal constituent H01L2224/45116Lead (Pb) as principal constituent H01L2224/45117the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45118Zinc (Zn) as principal constituent H01L2224/4512Antimony (Sb) as principal constituent H01L2224/45123Magnesium (Mg) as principal constituent H01L2224/45124Aluminium (Al) as principal constituent H01L2224/45138the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45139Silver (Ag) as principal constituent H01L2224/45144Gold (Au) as principal constituent H01L2224/45147Copper (Cu) as principal constituent H01L2224/45149Manganese (Mn) as principal constituent H01L2224/45155Nickel (Ni) as principal constituent H01L2224/45157Cobalt (Co) as principal constituent H01L2224/4516Iron (Fe) as principal constituent H01L2224/45163the principal constituent melting at a temperature of greater than 1550°C H01L2224/45164Palladium (Pd) as principal constituent H01L2224/45166Titanium (Ti) as principal constituent H01L2224/45169Platinum (Pt) as principal constituent H01L2224/4517Zirconium (Zr) as principal constituent H01L2224/45171Chromium (Cr) as principal constituent H01L2224/45172Vanadium (V) as principal constituent H01L2224/45173Rhodium (Rh) as principal constituent H01L2224/45176Ruthenium (Ru) as principal constituent H01L2224/45178Iridium (Ir) as principal constituent H01L2224/45179Niobium (Nb) as principal constituent H01L2224/4518Molybdenum (Mo) as principal constituent H01L2224/45181Tantalum (Ta) as principal constituent H01L2224/45183Rhenium (Re) as principal constituent H01L2224/45184Tungsten (W) as principal constituent H01L2224/45186with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45187Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/45188 H01L2224/45188Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/4519with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/45191The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/45193with a principal constituent of the material being a solid not provided for in groups H01L2224/451 - H01L2224/45191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/45194with a principal constituent of the material being a liquid not provided for in groups H01L2224/451 - H01L2224/45191 H01L2224/45195with a principal constituent of the material being a gas not provided for in groups H01L2224/451 - H01L2224/45191 H01L2224/45198with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/45199Material of the matrix H01L2224/452with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/45201the principal constituent melting at a temperature of less than 400°C H01L2224/45205Gallium (Ga) as principal constituent H01L2224/45209Indium (In) as principal constituent H01L2224/45211Tin (Sn) as principal constituent H01L2224/45213Bismuth (Bi) as principal constituent H01L2224/45214Thallium (Tl) as principal constituent H01L2224/45216Lead (Pb) as principal constituent H01L2224/45217the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45218Zinc (Zn) as principal constituent H01L2224/4522Antimony (Sb) as principal constituent H01L2224/45223Magnesium (Mg) as principal constituent H01L2224/45224Aluminium (Al) as principal constituent H01L2224/45238the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45239Silver (Ag) as principal constituent H01L2224/45244Gold (Au) as principal constituent H01L2224/45247Copper (Cu) as principal constituent H01L2224/45249Manganese (Mn) as principal constituent H01L2224/45255Nickel (Ni) as principal constituent H01L2224/45257Cobalt (Co) as principal constituent H01L2224/4526Iron (Fe) as principal constituent H01L2224/45263the principal constituent melting at a temperature of greater than 1550°C H01L2224/45264Palladium (Pd) as principal constituent H01L2224/45266Titanium (Ti) as principal constituent H01L2224/45269Platinum (Pt) as principal constituent H01L2224/4527Zirconium (Zr) as principal constituent H01L2224/45271Chromium (Cr) as principal constituent H01L2224/45272Vanadium (V) as principal constituent H01L2224/45273Rhodium (Rh) as principal constituent H01L2224/45276Ruthenium (Ru) as principal constituent H01L2224/45278Iridium (Ir) as principal constituent H01L2224/45279Niobium (Nb) as principal constituent H01L2224/4528Molybdenum (Mo) as principal constituent H01L2224/45281Tantalum (Ta) as principal constituent H01L2224/45283Rhenium (Re) as principal constituent H01L2224/45284Tungsten (W) as principal constituent H01L2224/45286with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45287Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/45288 H01L2224/45288Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/4529with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/45291The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/45293with a principal constituent of the material being a solid not provided for in groups H01L2224/452 - H01L2224/45291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/45294with a principal constituent of the material being a liquid not provided for in groups H01L2224/452 - H01L2224/45291 H01L2224/45295with a principal constituent of the material being a gas not provided for in groups H01L2224/452 - H01L2224/45291 H01L2224/45298Fillers H01L2224/45299Base material H01L2224/453with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/45301the principal constituent melting at a temperature of less than 400°C H01L2224/45305Gallium (Ga) as principal constituent H01L2224/45309Indium (In) as principal constituent H01L2224/45311Tin (Sn) as principal constituent H01L2224/45313Bismuth (Bi) as principal constituent H01L2224/45314Thallium (Tl) as principal constituent H01L2224/45316Lead (Pb) as principal constituent H01L2224/45317the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45318Zinc (Zn) as principal constituent H01L2224/4532Antimony (Sb) as principal constituent H01L2224/45323Magnesium (Mg) as principal constituent H01L2224/45324Aluminium (Al) as principal constituent H01L2224/45338the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45339Silver (Ag) as principal constituent H01L2224/45344Gold (Au) as principal constituent H01L2224/45347Copper (Cu) as principal constituent H01L2224/45349Manganese (Mn) as principal constituent H01L2224/45355Nickel (Ni) as principal constituent H01L2224/45357Cobalt (Co) as principal constituent H01L2224/4536Iron (Fe) as principal constituent H01L2224/45363the principal constituent melting at a temperature of greater than 1550°C H01L2224/45364Palladium (Pd) as principal constituent H01L2224/45366Titanium (Ti) as principal constituent H01L2224/45369Platinum (Pt) as principal constituent H01L2224/4537Zirconium (Zr) as principal constituent H01L2224/45371Chromium (Cr) as principal constituent H01L2224/45372Vanadium (V) as principal constituent H01L2224/45373Rhodium (Rh) as principal constituent H01L2224/45376Ruthenium (Ru) as principal constituent H01L2224/45378Iridium (Ir) as principal constituent H01L2224/45379Niobium (Nb) as principal constituent H01L2224/4538Molybdenum (Mo) as principal constituent H01L2224/45381Tantalum (Ta) as principal constituent H01L2224/45383Rhenium (Re) as principal constituent H01L2224/45384Tungsten (W) as principal constituent H01L2224/45386with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45387Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/45388 H01L2224/45388Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/4539with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/45391The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/45393with a principal constituent of the material being a solid not provided for in groups H01L2224/453 - H01L2224/45391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/45394with a principal constituent of the material being a liquid not provided for in groups H01L2224/453 - H01L2224/45391 H01L2224/45395with a principal constituent of the material being a gas not provided for in groups H01L2224/453 - H01L2224/45391 H01L2224/45398with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/45399Coating material H01L2224/454with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/45401the principal constituent melting at a temperature of less than 400°C H01L2224/45405Gallium (Ga) as principal constituent H01L2224/45409Indium (In) as principal constituent H01L2224/45411Tin (Sn) as principal constituent H01L2224/45413Bismuth (Bi) as principal constituent H01L2224/45414Thallium (Tl) as principal constituent H01L2224/45416Lead (Pb) as principal constituent H01L2224/45417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45418Zinc (Zn) as principal constituent H01L2224/4542Antimony (Sb) as principal constituent H01L2224/45423Magnesium (Mg) as principal constituent H01L2224/45424Aluminium (Al) as principal constituent H01L2224/45438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45439Silver (Ag) as principal constituent H01L2224/45444Gold (Au) as principal constituent H01L2224/45447Copper (Cu) as principal constituent H01L2224/45449Manganese (Mn) as principal constituent H01L2224/45455Nickel (Ni) as principal constituent H01L2224/45457Cobalt (Co) as principal constituent H01L2224/4546Iron (Fe) as principal constituent H01L2224/45463the principal constituent melting at a temperature of greater than 1550°C H01L2224/45464Palladium (Pd) as principal constituent H01L2224/45466Titanium (Ti) as principal constituent H01L2224/45469Platinum (Pt) as principal constituent H01L2224/4547Zirconium (Zr) as principal constituent H01L2224/45471Chromium (Cr) as principal constituent H01L2224/45472Vanadium (V) as principal constituent H01L2224/45473Rhodium (Rh) as principal constituent H01L2224/45476Ruthenium (Ru) as principal constituent H01L2224/45478Iridium (Ir) as principal constituent H01L2224/45479Niobium (Nb) as principal constituent H01L2224/4548Molybdenum (Mo) as principal constituent H01L2224/45481Tantalum (Ta) as principal constituent H01L2224/45483Rhenium (Re) as principal constituent H01L2224/45484Tungsten (W) as principal constituent H01L2224/45486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45487Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/45488 H01L2224/45488Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/4549with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/45491The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/45493with a principal constituent of the material being a solid not provided for in groups H01L2224/454 - H01L2224/45491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/45494with a principal constituent of the material being a liquid not provided for in groups H01L2224/454 - H01L2224/45491 H01L2224/45495with a principal constituent of the material being a gas not provided for in groups H01L2224/454 - H01L2224/45491 H01L2224/45498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/45499Shape or distribution of the fillers H01L2224/4554Coating H01L2224/45541Structure H01L2224/4555Shape H01L2224/4556Disposition, e.g. coating on a part of the core H01L2224/45565Single coating layer H01L2224/4557Plural coating layers H01L2224/45572Two-layer stack coating H01L2224/45573Three-layer stack coating H01L2224/45574Four-layer stack coating H01L2224/45576being mutually engaged together, e.g. through inserts H01L2224/45578being disposed next to each other, e.g. side-to-side arrangements H01L2224/45599Material H01L2224/456with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/45601the principal constituent melting at a temperature of less than 400°C H01L2224/45605Gallium (Ga) as principal constituent H01L2224/45609Indium (In) as principal constituent H01L2224/45611Tin (Sn) as principal constituent H01L2224/45613Bismuth (Bi) as principal constituent H01L2224/45614Thallium (Tl) as principal constituent H01L2224/45616Lead (Pb) as principal constituent H01L2224/45617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45618Zinc (Zn) as principal constituent H01L2224/4562Antimony (Sb) as principal constituent H01L2224/45623Magnesium (Mg) as principal constituent H01L2224/45624Aluminium (Al) as principal constituent H01L2224/45638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45639Silver (Ag) as principal constituent H01L2224/45644Gold (Au) as principal constituent H01L2224/45647Copper (Cu) as principal constituent H01L2224/45649Manganese (Mn) as principal constituent H01L2224/45655Nickel (Ni) as principal constituent H01L2224/45657Cobalt (Co) as principal constituent H01L2224/4566Iron (Fe) as principal constituent H01L2224/45663the principal constituent melting at a temperature of greater than 1550°C H01L2224/45664Palladium (Pd) as principal constituent H01L2224/45666Titanium (Ti) as principal constituent H01L2224/45669Platinum (Pt) as principal constituent H01L2224/4567Zirconium (Zr) as principal constituent H01L2224/45671Chromium (Cr) as principal constituent H01L2224/45672Vanadium (V) as principal constituent H01L2224/45673Rhodium (Rh) as principal constituent H01L2224/45676Ruthenium (Ru) as principal constituent H01L2224/45678Iridium (Ir) as principal constituent H01L2224/45679Niobium (Nb) as principal constituent H01L2224/4568Molybdenum (Mo) as principal constituent H01L2224/45681Tantalum (Ta) as principal constituent H01L2224/45683Rhenium (Re) as principal constituent H01L2224/45684Tungsten (W) as principal constituent H01L2224/45686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45687Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/45688 H01L2224/45688Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/4569with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/45691The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/45693with a principal constituent of the material being a solid not provided for in groups H01L2224/456 - H01L2224/45691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/45694with a principal constituent of the material being a liquid not provided for in groups H01L2224/456 - H01L2224/45691 H01L2224/45695with a principal constituent of the material being a gas not provided for in groups H01L2224/456 - H01L2224/45691 H01L2224/45698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/45699Material of the matrix H01L2224/457with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/45701the principal constituent melting at a temperature of less than 400°C H01L2224/45705Gallium (Ga) as principal constituent H01L2224/45709Indium (In) as principal constituent H01L2224/45711Tin (Sn) as principal constituent H01L2224/45713Bismuth (Bi) as principal constituent H01L2224/45714Thallium (Tl) as principal constituent H01L2224/45716Lead (Pb) as principal constituent H01L2224/45717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45718Zinc (Zn) as principal constituent H01L2224/4572Antimony (Sb) as principal constituent H01L2224/45723Magnesium (Mg) as principal constituent H01L2224/45724Aluminium (Al) as principal constituent H01L2224/45738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45739Silver (Ag) as principal constituent H01L2224/45744Gold (Au) as principal constituent H01L2224/45747Copper (Cu) as principal constituent H01L2224/45749Manganese (Mn) as principal constituent H01L2224/45755Nickel (Ni) as principal constituent H01L2224/45757Cobalt (Co) as principal constituent H01L2224/4576Iron (Fe) as principal constituent H01L2224/45763the principal constituent melting at a temperature of greater than 1550°C H01L2224/45764Palladium (Pd) as principal constituent H01L2224/45766Titanium (Ti) as principal constituent H01L2224/45769Platinum (Pt) as principal constituent H01L2224/4577Zirconium (Zr) as principal constituent H01L2224/45771Chromium (Cr) as principal constituent H01L2224/45772Vanadium (V) as principal constituent H01L2224/45773Rhodium (Rh) as principal constituent H01L2224/45776Ruthenium (Ru) as principal constituent H01L2224/45778Iridium (Ir) as principal constituent H01L2224/45779Niobium (Nb) as principal constituent H01L2224/4578Molybdenum (Mo) as principal constituent H01L2224/45781Tantalum (Ta) as principal constituent H01L2224/45783Rhenium (Re) as principal constituent H01L2224/45784Tungsten (W) as principal constituent H01L2224/45786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45787Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/45788 H01L2224/45788Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/4579with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/45791The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/45793with a principal constituent of the material being a solid not provided for in groups H01L2224/457 - H01L2224/45791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/45794with a principal constituent of the material being a liquid not provided for in groups H01L2224/457 - H01L2224/45791 H01L2224/45795with a principal constituent of the material being a gas not provided for in groups H01L2224/457 - H01L2224/45791 H01L2224/45798Fillers H01L2224/45799Base material H01L2224/458with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/45801the principal constituent melting at a temperature of less than 400°C H01L2224/45805Gallium (Ga) as principal constituent H01L2224/45809Indium (In) as principal constituent H01L2224/45811Tin (Sn) as principal constituent H01L2224/45813Bismuth (Bi) as principal constituent H01L2224/45814Thallium (Tl) as principal constituent H01L2224/45816Lead (Pb) as principal constituent H01L2224/45817the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45818Zinc (Zn) as principal constituent H01L2224/4582Antimony (Sb) as principal constituent H01L2224/45823Magnesium (Mg) as principal constituent H01L2224/45824Aluminium (Al) as principal constituent H01L2224/45838the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45839Silver (Ag) as principal constituent H01L2224/45844Gold (Au) as principal constituent H01L2224/45847Copper (Cu) as principal constituent H01L2224/45849Manganese (Mn) as principal constituent H01L2224/45855Nickel (Ni) as principal constituent H01L2224/45857Cobalt (Co) as principal constituent H01L2224/4586Iron (Fe) as principal constituent H01L2224/45863the principal constituent melting at a temperature of greater than 1550°C H01L2224/45864Palladium (Pd) as principal constituent H01L2224/45866Titanium (Ti) as principal constituent H01L2224/45869Platinum (Pt) as principal constituent H01L2224/4587Zirconium (Zr) as principal constituent H01L2224/45871Chromium (Cr) as principal constituent H01L2224/45872Vanadium (V) as principal constituent H01L2224/45873Rhodium (Rh) as principal constituent H01L2224/45876Ruthenium (Ru) as principal constituent H01L2224/45878Iridium (Ir) as principal constituent H01L2224/45879Niobium (Nb) as principal constituent H01L2224/4588Molybdenum (Mo) as principal constituent H01L2224/45881Tantalum (Ta) as principal constituent H01L2224/45883Rhenium (Re) as principal constituent H01L2224/45884Tungsten (W) as principal constituent H01L2224/45886with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45887Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/45888 H01L2224/45888Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/4589with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/45891The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/45893with a principal constituent of the material being a solid not provided for in groups H01L2224/458 - H01L2224/45891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/45894with a principal constituent of the material being a liquid not provided for in groups H01L2224/458 - H01L2224/45891 H01L2224/45895with a principal constituent of the material being a gas not provided for in groups H01L2224/458 - H01L2224/45891 H01L2224/45898with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/45899Coating material H01L2224/459with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/45901the principal constituent melting at a temperature of less than 400°C H01L2224/45905Gallium (Ga) as principal constituent H01L2224/45909Indium (In) as principal constituent H01L2224/45911Tin (Sn) as principal constituent H01L2224/45913Bismuth (Bi) as principal constituent H01L2224/45914Thallium (Tl) as principal constituent H01L2224/45916Lead (Pb) as principal constituent H01L2224/45917the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45918Zinc (Zn) as principal constituent H01L2224/4592Antimony (Sb) as principal constituent H01L2224/45923Magnesium (Mg) as principal constituent H01L2224/45924Aluminium (Al) as principal constituent H01L2224/45938the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45939Silver (Ag) as principal constituent H01L2224/45944Gold (Au) as principal constituent H01L2224/45947Copper (Cu) as principal constituent H01L2224/45949Manganese (Mn) as principal constituent H01L2224/45955Nickel (Ni) as principal constituent H01L2224/45957Cobalt (Co) as principal constituent H01L2224/4596Iron (Fe) as principal constituent H01L2224/45963the principal constituent melting at a temperature of greater than 1550°C H01L2224/45964Palladium (Pd) as principal constituent H01L2224/45966Titanium (Ti) as principal constituent H01L2224/45969Platinum (Pt) as principal constituent H01L2224/4597Zirconium (Zr) as principal constituent H01L2224/45971Chromium (Cr) as principal constituent H01L2224/45972Vanadium (V) as principal constituent H01L2224/45973Rhodium (Rh) as principal constituent H01L2224/45976Ruthenium (Ru) as principal constituent H01L2224/45978Iridium (Ir) as principal constituent H01L2224/45979Niobium (Nb) as principal constituent H01L2224/4598Molybdenum (Mo) as principal constituent H01L2224/45981Tantalum (Ta) as principal constituent H01L2224/45983Rhenium (Re) as principal constituent H01L2224/45984Tungsten (W) as principal constituent H01L2224/45986with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45987Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/45988 H01L2224/45988Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/4599with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/45991The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/45993with a principal constituent of the material being a solid not provided for in groups H01L2224/459 - H01L2224/45991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/45994with a principal constituent of the material being a liquid not provided for in groups H01L2224/459 - H01L2224/45991 H01L2224/45995with a principal constituent of the material being a gas not provided for in groups H01L2224/459 - H01L2224/45991 H01L2224/45998with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/45999Shape or distribution of the fillers H01L2224/46of a plurality of wire connectors H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process H01L2224/48of an individual wire connector H01L2224/4801Structure H01L2224/48011Length H01L2224/4805Shape H01L2224/4807of bonding interfaces, e.g. interlocking features H01L2224/4809Loop shape H01L2224/48091Arched H01L2224/48092Helix H01L2224/48095Kinked H01L2224/48096the kinked part being in proximity to the bonding area on the semiconductor or solid-state body H01L2224/48097the kinked part being in proximity to the bonding area outside the semiconductor or solid-state body H01L2224/481Disposition H01L2224/48101Connecting bonding areas at the same height, e.g. horizontal bond H01L2224/48105Connecting bonding areas at different heights H01L2224/48106the connector being orthogonal to a side surface of the semiconductor or solid-state body, e.g. parallel layout H01L2224/48108the connector not being orthogonal to a side surface of the semiconductor or solid-state body, e.g. fanned-out connectors, radial layout H01L2224/4811Connecting to a bonding area of the semiconductor or solid-state body located at the far end of the body with respect to the bonding area outside the semiconductor or solid-state body H01L2224/48111the wire connector extending above another semiconductor or solid-state body H01L2224/4813Connecting within a semiconductor or solid-state body, i.e. fly wire, bridge wire H01L2224/48132with an intermediate bond, e.g. continuous wire daisy chain H01L2224/48135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip H01L2224/48137the bodies being arranged next to each other, e.g. on a common substrate H01L2224/48138the wire connector connecting to a bonding area disposed in a recess of the surface H01L2224/48139with an intermediate bond, e.g. continuous wire daisy chain H01L2224/4814the wire connector connecting to a bonding area protruding from the surface H01L2224/48141the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements H01L2224/48145the bodies being stacked H01L2224/48147with an intermediate bond, e.g. continuous wire daisy chain H01L2224/48148the wire connector connecting to a bonding area disposed in a recess of the surface H01L2224/48149the wire connector connecting to a bonding area protruding from the surface H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive H01L2224/48153the body and the item being arranged next to each other, e.g. on a common substrate H01L2224/48155the item being non-metallic, e.g. insulating substrate with or without metallisation H01L2224/48157connecting the wire to a bond pad of the item H01L2224/48158the bond pad being disposed in a recess of the surface of the item H01L2224/48159the bond pad protruding from the surface of the item H01L2224/4816connecting the wire to a pin of the item H01L2224/48163connecting the wire to a potential ring of the item H01L2224/48165connecting the wire to a via metallisation of the item H01L2224/48175the item being metallic H01L2224/48177connecting the wire to a bond pad of the item H01L2224/48178the bond pad being disposed in a recess of the surface of the item H01L2224/48179the bond pad protruding from the surface of the item H01L2224/48183connecting the wire to a potential ring of the item H01L2224/48195the item being a discrete passive component H01L2224/48221the body and the item being stacked H01L2224/48225the item being non-metallic, e.g. insulating substrate with or without metallisation H01L2224/48227connecting the wire to a bond pad of the item H01L2224/48228the bond pad being disposed in a recess of the surface of the item H01L2224/48229the bond pad protruding from the surface of the item H01L2224/4823connecting the wire to a pin of the item H01L2224/48233connecting the wire to a potential ring of the item H01L2224/48235connecting the wire to a via metallisation of the item H01L2224/48237connecting the wire to a die pad of the item H01L2224/4824Connecting between the body and an opposite side of the item with respect to the body H01L2224/48245the item being metallic H01L2224/48247connecting the wire to a bond pad of the item H01L2224/48248the bond pad being disposed in a recess of the surface of the item H01L2224/48249the bond pad protruding from the surface of the item H01L2224/48253connecting the wire to a potential ring of the item H01L2224/48257connecting the wire to a die pad of the item H01L2224/4826Connecting between the body and an opposite side of the item with respect to the body H01L2224/48265the item being a discrete passive component H01L2224/484Connecting portions H01L2224/4845Details of ball bonds H01L2224/48451Shape H01L2224/48453of the interface with the bonding area H01L2224/48455Details of wedge bonds H01L2224/48456Shape H01L2224/48458of the interface with the bonding area H01L2224/4846with multiple bonds on the same bonding area H01L2224/48463the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond H01L2224/48464the other connecting portion not on the bonding area also being a ball bond, i.e. ball-to-ball H01L2224/48465the other connecting portion not on the bonding area being a wedge bond, i.e. ball-to-wedge, regular stitch H01L2224/4847the connecting portion on the bonding area of the semiconductor or solid-state body being a wedge bond H01L2224/48471the other connecting portion not on the bonding area being a ball bond, i.e. wedge-to-ball, reverse stitch H01L2224/48472the other connecting portion not on the bonding area also being a wedge bond, i.e. wedge-to-wedge H01L2224/48475connected to auxiliary connecting means on the bonding areas, e.g. pre-ball, wedge-on-ball, ball-on-ball H01L2224/48476between the wire connector and the bonding area H01L2224/48477being a pre-ball (i.e. a ball formed by capillary bonding) H01L2224/48478the connecting portion being a wedge bond, i.e. wedge on pre-ball H01L2224/48479on the semiconductor or solid-state body H01L2224/4848outside the semiconductor or solid-state body H01L2224/48481the connecting portion being a ball bond, i.e. ball on pre-ball H01L2224/48482on the semiconductor or solid-state body H01L2224/48483outside the semiconductor or solid-state body H01L2224/48484being a plurality of pre-balls disposed side-to-side H01L2224/48485the connecting portion being a wedge bond, i.e. wedge on pre-ball H01L2224/48486on the semiconductor or solid-state body H01L2224/48487outside the semiconductor or solid-state body H01L2224/48488the connecting portion being a ball bond, i.e. ball on pre-ball H01L2224/48489on the semiconductor or solid-state body H01L2224/4849outside the semiconductor or solid-state body H01L2224/48491being an additional member attached to the bonding area through an adhesive or solder, e.g. buffer pad H01L2224/48496not being interposed between the wire connector and the bonding area H01L2224/48499Material of the auxiliary connecting means H01L2224/485Material H01L2224/48505at the bonding interface H01L2224/48506comprising an eutectic alloy H01L2224/48507comprising an intermetallic compound H01L2224/4851Morphology of the connecting portion, e.g. grain size distribution H01L2224/48511Heat affected zone [HAZ] H01L2224/4852Bonding interface between the connecting portion and the bonding area H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au) H01L2224/486with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/48601the principal constituent melting at a temperature of less than 400°C H01L2224/48605Gallium (Ga) as principal constituent H01L2224/48609Indium (In) as principal constituent H01L2224/48611Tin (Sn) as principal constituent H01L2224/48613Bismuth (Bi) as principal constituent H01L2224/48614Thallium (Tl) as principal constituent H01L2224/48616Lead (Pb) as principal constituent H01L2224/48617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C H01L2224/48618Zinc (Zn) as principal constituent H01L2224/4862Antimony (Sb) as principal constituent H01L2224/48623Magnesium (Mg) as principal constituent H01L2224/48624Aluminium (Al) as principal constituent H01L2224/48638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/48639Silver (Ag) as principal constituent H01L2224/48644Gold (Au) as principal constituent H01L2224/48647Copper (Cu) as principal constituent H01L2224/48649Manganese (Mn) as principal constituent H01L2224/48655Nickel (Ni) as principal constituent H01L2224/48657Cobalt (Co) as principal constituent H01L2224/4866Iron (Fe) as principal constituent H01L2224/48663the principal constituent melting at a temperature of greater than 1550°C H01L2224/48664Palladium (Pd) as principal constituent H01L2224/48666Titanium (Ti) as principal constituent H01L2224/48669Platinum (Pt) as principal constituent H01L2224/4867Zirconium (Zr) as principal constituent H01L2224/48671Chromium (Cr) as principal constituent H01L2224/48672Vanadium (V) as principal constituent H01L2224/48673Rhodium (Rh) as principal constituent H01L2224/48678Iridium (Ir) as principal constituent H01L2224/48679Niobium (Nb) as principal constituent H01L2224/4868Molybdenum (Mo) as principal constituent H01L2224/48681Tantalum (Ta) as principal constituent H01L2224/48683Rhenium (Re) as principal constituent H01L2224/48684Tungsten (W) as principal constituent H01L2224/48686with a principal constituent of the bonding area being a non metallic, non metalloid inorganic material H01L2224/48687Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/48688 H01L2224/48688Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/4869with a principal constituent of the bonding area being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/48691The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/48693with a principal constituent of the bonding area being a solid not provided for in groups H01L2224/486 - H01L2224/4869, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/48694with a principal constituent of the bonding area being a liquid not provided for in groups H01L2224/486 - H01L2224/4869 H01L2224/48698with a principal constituent of the bonding area being a combination of two or more material regions, i.e. being a hybrid material, e.g. segmented structures, island patterns H01L2224/48699Principal constituent of the connecting portion of the wire connector being Aluminium (Al) H01L2224/487with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/48701the principal constituent melting at a temperature of less than 400°C H01L2224/48705Gallium (Ga) as principal constituent H01L2224/48709Indium (In) as principal constituent H01L2224/48711Tin (Sn) as principal constituent H01L2224/48713Bismuth (Bi) as principal constituent H01L2224/48714Thallium (Tl) as principal constituent H01L2224/48716Lead (Pb) as principal constituent H01L2224/48717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C H01L2224/48718Zinc (Zn) as principal constituent H01L2224/4872Antimony (Sb) as principal constituent H01L2224/48723Magnesium (Mg) as principal constituent H01L2224/48724Aluminium (Al) as principal constituent H01L2224/48738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/48739Silver (Ag) as principal constituent H01L2224/48744Gold (Au) as principal constituent H01L2224/48747Copper (Cu) as principal constituent H01L2224/48749Manganese (Mn) as principal constituent H01L2224/48755Nickel (Ni) as principal constituent H01L2224/48757Cobalt (Co) as principal constituent H01L2224/4876Iron (Fe) as principal constituent H01L2224/48763the principal constituent melting at a temperature of greater than 1550°C H01L2224/48764Palladium (Pd) as principal constituent H01L2224/48766Titanium (Ti) as principal constituent H01L2224/48769Platinum (Pt) as principal constituent H01L2224/4877Zirconium (Zr) as principal constituent H01L2224/48771Chromium (Cr) as principal constituent H01L2224/48772Vanadium (V) as principal constituent H01L2224/48773Rhodium (Rh) as principal constituent H01L2224/48778Iridium (Ir) as principal constituent H01L2224/48779Niobium (Nb) as principal constituent H01L2224/4878Molybdenum (Mo) as principal constituent H01L2224/48781Tantalum (Ta) as principal constituent H01L2224/48783Rhenium (Re) as principal constituent H01L2224/48784Tungsten (W) as principal constituent H01L2224/48786with a principal constituent of the bonding area being a non metallic, non metalloid inorganic material H01L2224/48787Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/48788 H01L2224/48788Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/4879with a principal constituent of the bonding area being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/48791The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/48793with a principal constituent of the bonding area being a solid not provided for in groups H01L2224/487 - H01L2224/4879, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/48794with a principal constituent of the bonding area being a liquid not provided for in groups H01L2224/487 - H01L2224/4879 H01L2224/48798with a principal constituent of the bonding area being a combination of two or more material regions, i.e. being a hybrid material, e.g. segmented structures, island patterns H01L2224/48799Principal constituent of the connecting portion of the wire connector being Copper (Cu) H01L2224/488with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/48801the principal constituent melting at a temperature of less than 400°C H01L2224/48805Gallium (Ga) as principal constituent H01L2224/48809Indium (In) as principal constituent H01L2224/48811Tin (Sn) as principal constituent H01L2224/48813Bismuth (Bi) as principal constituent H01L2224/48814Thallium (Tl) as principal constituent H01L2224/48816Lead (Pb) as principal constituent H01L2224/48817the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C H01L2224/48818Zinc (Zn) as principal constituent H01L2224/4882Antimony (Sb) as principal constituent H01L2224/48823Magnesium (Mg) as principal constituent H01L2224/48824Aluminium (Al) as principal constituent H01L2224/48838the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/48839Silver (Ag) as principal constituent H01L2224/48844Gold (Au) as principal constituent H01L2224/48847Copper (Cu) as principal constituent H01L2224/48849Manganese (Mn) as principal constituent H01L2224/48855Nickel (Ni) as principal constituent H01L2224/48857Cobalt (Co) as principal constituent H01L2224/4886Iron (Fe) as principal constituent H01L2224/48863the principal constituent melting at a temperature of greater than 1550°C H01L2224/48864Palladium (Pd) as principal constituent H01L2224/48866Titanium (Ti) as principal constituent H01L2224/48869Platinum (Pt) as principal constituent H01L2224/4887Zirconium (Zr) as principal constituent H01L2224/48871Chromium (Cr) as principal constituent H01L2224/48872Vanadium (V) as principal constituent H01L2224/48873Rhodium (Rh) as principal constituent H01L2224/48878Iridium (Ir) as principal constituent H01L2224/48879Niobium (Nb) as principal constituent H01L2224/4888Molybdenum (Mo) as principal constituent H01L2224/48881Tantalum (Ta) as principal constituent H01L2224/48883Rhenium (Re) as principal constituent H01L2224/48884Tungsten (W) as principal constituent H01L2224/48886with a principal constituent of the bonding area being a non metallic, non metalloid inorganic material H01L2224/48887Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/48888 H01L2224/48888Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/4889with a principal constituent of the bonding area being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/48891The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/48893with a principal constituent of the bonding area being a solid not provided for in groups H01L2224/488 - H01L2224/4889, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/48894with a principal constituent of the bonding area being a liquid not provided for in groups H01L2224/488 - H01L2224/4889 H01L2224/48898with a principal constituent of the bonding area being a combination of two or more material regions, i.e. being a hybrid material, e.g. segmented structures, island patterns H01L2224/4899Auxiliary members for wire connectors, e.g. flow-barriers, reinforcing structures, spacers, alignment aids H01L2224/48991being formed on the semiconductor or solid-state body to be connected H01L2224/48992Reinforcing structures H01L2224/48993Alignment aids H01L2224/48996being formed on an item to be connected not being a semiconductor or solid-state body H01L2224/48997Reinforcing structures H01L2224/48998Alignment aids H01L2224/49of a plurality of wire connectors H01L2224/4901Structure H01L2224/4903Connectors having different sizes, e.g. different diameters H01L2224/4905Shape H01L2224/49051Connectors having different shapes H01L2224/49052Different loop heights H01L2224/4909Loop shape arrangement H01L2224/49095parallel in plane H01L2224/49096horizontal H01L2224/49097vertical H01L2224/491Disposition H01L2224/49105Connecting at different heights H01L2224/49107on the semiconductor or solid-state body H01L2224/49109outside the semiconductor or solid-state body H01L2224/4911the connectors being bonded to at least one common bonding area, e.g. daisy chain H01L2224/49111the connectors connecting two common bonding areas, e.g. Litz or braid wires H01L2224/49112the connectors connecting a common bonding area on the semiconductor or solid-state body to different bonding areas outside the body, e.g. diverging wires H01L2224/49113the connectors connecting different bonding areas on the semiconductor or solid-state body to a common bonding area outside the body, e.g. converging wires H01L2224/4912Layout H01L2224/4917Crossed wires H01L2224/49171Fan-out arrangements H01L2224/49173Radial fan-out arrangements H01L2224/49174Stacked arrangements H01L2224/49175Parallel arrangements H01L2224/49176Wire connectors having the same loop shape and height H01L2224/49177Combinations of different arrangements H01L2224/49179Corner adaptations, i.e. disposition of the wire connectors at the corners of the semiconductor or solid-state body H01L2224/4918being disposed on at least two different sides of the body, e.g. dual array H01L2224/494Connecting portions H01L2224/4941the connecting portions being stacked H01L2224/4942Ball bonds H01L2224/49421on the semiconductor or solid-state body H01L2224/49422outside the semiconductor or solid-state body H01L2224/49425Wedge bonds H01L2224/49426on the semiconductor or solid-state body H01L2224/49427outside the semiconductor or solid-state body H01L2224/49429Wedge and ball bonds H01L2224/4943the connecting portions being staggered H01L2224/49431on the semiconductor or solid-state body H01L2224/49433outside the semiconductor or solid-state body H01L2224/4945Wire connectors having connecting portions of different types on the semiconductor or solid-state body, e.g. regular and reverse stitches H01L2224/495Material H01L2224/49505Connectors having different materials H01L2224/50Tape automated bonding [TAB] connectors, i.e. film carriersManufacturing methods related thereto H01L2224/63Connectors not provided for in any of the groups H01L2224/10 - H01L2224/50 and subgroupsManufacturing methods related thereto H01L2224/64Manufacturing methods H01L2224/65Structure, shape, material or disposition of the connectors prior to the connecting process H01L2224/66of an individual connector H01L2224/67of a plurality of connectors H01L2224/68Structure, shape, material or disposition of the connectors after the connecting process H01L2224/69of an individual connector H01L2224/70of a plurality of connectors H01L2224/71Means for bonding not being attached to, or not being formed on, the surface to be connected H01L2224/72Detachable connecting means consisting of mechanical auxiliary parts connecting the device, e.g. pressure contacts using springs or clips H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71 H01L2224/731Location prior to the connecting process H01L2224/73101on the same surface H01L2224/73103Bump and layer connectors H01L2224/73104the bump connector being embedded into the layer connector H01L2224/73151on different surfaces H01L2224/73153Bump and layer connectors H01L2224/732Location after the connecting process H01L2224/73201on the same surface H01L2224/73203Bump and layer connectors H01L2224/73204the bump connector being embedded into the layer connector H01L2224/73205Bump and strap connectors H01L2224/73207Bump and wire connectors H01L2224/73209Bump and HDI connectors H01L2224/73211Bump and TAB connectors H01L2224/73213Layer and strap connectors H01L2224/73215Layer and wire connectors H01L2224/73217Layer and HDI connectors H01L2224/73219Layer and TAB connectors H01L2224/73221Strap and wire connectors H01L2224/73223Strap and HDI connectors H01L2224/73225Strap and TAB connectors H01L2224/73227Wire and HDI connectors H01L2224/73229Wire and TAB connectors H01L2224/73231HDI and TAB connectors H01L2224/73251on different surfaces H01L2224/73253Bump and layer connectors H01L2224/73255Bump and strap connectors H01L2224/73257Bump and wire connectors H01L2224/73259Bump and HDI connectors H01L2224/73261Bump and TAB connectors H01L2224/73263Layer and strap connectors H01L2224/73265Layer and wire connectors H01L2224/73267Layer and HDI connectors H01L2224/73269Layer and TAB connectors H01L2224/73271Strap and wire connectors H01L2224/73273Strap and HDI connectors H01L2224/73275Strap and TAB connectors H01L2224/73277Wire and HDI connectors H01L2224/73279Wire and TAB connectors H01L2224/73281HDI and TAB connectors H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto H01L2224/741Apparatus for manufacturing means for bonding, e.g. connectors H01L2224/742Apparatus for manufacturing bump connectors H01L2224/743Apparatus for manufacturing layer connectors H01L2224/744Apparatus for manufacturing strap connectors H01L2224/745Apparatus for manufacturing wire connectors H01L2224/749Tools for reworking, e.g. for shaping H01L2224/75Apparatus for connecting with bump connectors or layer connectors H01L2224/75001Calibration means H01L2224/7501Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma H01L2224/751Means for controlling the bonding environment, e.g. valves, vacuum pumps H01L2224/75101Chamber H01L2224/75102Vacuum chamber H01L2224/7511High pressure chamber H01L2224/7515Means for applying permanent coating, e.g. in-situ coating H01L2224/75151Means for direct writing H01L2224/75152Syringe H01L2224/75153integrated into the bonding head H01L2224/75155Jetting means, e.g. ink jet H01L2224/75158including a laser H01L2224/75161Means for screen printing, e.g. roller, squeegee, screen stencil H01L2224/7517Means for applying a preform, e.g. laminator H01L2224/75171including a vacuum-bag H01L2224/7518Means for blanket deposition H01L2224/75181for spin coating, i.e. spin coater H01L2224/75182for curtain coating H01L2224/75183for immersion coating, i.e. bath H01L2224/75184for spray coating, i.e. nozzle H01L2224/75185Means for physical vapour deposition [PVD], e.g. evaporation, sputtering H01L2224/75186Means for sputtering, e.g. target H01L2224/75187Means for evaporation H01L2224/75188Means for chemical vapour deposition [CVD], e.g. for laser CVD H01L2224/75189Means for plating, e.g. for electroplating, electroless plating H01L2224/752Protection means against electrical discharge H01L2224/7525Means for applying energy, e.g. heating means H01L2224/75251in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75252in the upper part of the bonding apparatus, e.g. in the bonding head H01L2224/75253adapted for localised heating H01L2224/7526Polychromatic heating lamp H01L2224/75261Laser H01L2224/75262in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75263in the upper part of the bonding apparatus, e.g. in the bonding head H01L2224/75264by induction heating, i.e. coils H01L2224/75265in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75266in the upper part of the bonding apparatus, e.g. in the bonding head H01L2224/75267Flame torch, e.g. hydrogen torch H01L2224/75268Discharge electrode H01L2224/75269Shape of the discharge electrode H01L2224/7527Material of the discharge electrode H01L2224/75271Circuitry of the discharge electrode H01L2224/75272Oven H01L2224/7528Resistance welding electrodes, i.e. for ohmic heating H01L2224/75281in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75282in the upper part of the bonding apparatus, e.g. in the bonding head H01L2224/75283by infrared heating, e.g. infrared heating lamp H01L2224/753by means of pressure H01L2224/75301Bonding head H01L2224/75302Shape H01L2224/75303of the pressing surface H01L2224/75304being curved H01L2224/75305comprising protrusions H01L2224/7531of other parts H01L2224/75312Material H01L2224/75313Removable bonding head H01L2224/75314Auxiliary members on the pressing surface H01L2224/75315Elastomer inlay H01L2224/75316with retaining mechanisms H01L2224/75317Removable auxiliary member H01L2224/75318Shape of the auxiliary member H01L2224/7532Material of the auxiliary member H01L2224/75343by ultrasonic vibrations H01L2224/75344Eccentric cams H01L2224/75345in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75346in the upper part of the bonding apparatus, e.g. in the bonding head H01L2224/75347Piezoelectric transducers H01L2224/75348in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75349in the upper part of the bonding apparatus, e.g. in the bonding head H01L2224/7535Stable and mobile yokes H01L2224/75351in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75352in the upper part of the bonding apparatus, e.g. in the bonding head H01L2224/75353Ultrasonic horns H01L2224/75354in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75355Design, e.g. of the wave guide H01L2224/755Cooling means H01L2224/75501in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75502in the upper part of the bonding apparatus, e.g. in the bonding head H01L2224/7555Mechanical means, e.g. for planarising, pressing, stamping H01L2224/756Means for supplying the connector to be connected in the bonding apparatus H01L2224/75601Storing means H01L2224/75611Feeding means H01L2224/75621Holding means H01L2224/7565Means for transporting the components to be connected H01L2224/75651Belt conveyor H01L2224/75652Chain conveyor H01L2224/75653Vibrating conveyor H01L2224/75654Pneumatic conveyor H01L2224/75655in a fluid H01L2224/757Means for aligning H01L2224/75701in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75702in the upper part of the bonding apparatus, e.g. in the bonding head H01L2224/75703Mechanical holding means H01L2224/75704in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75705in the upper part of the bonding apparatus, e.g. in the bonding head H01L2224/75723Electrostatic holding means H01L2224/75724in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75725in the upper part of the bonding apparatus, e.g. in the bonding head H01L2224/75733Magnetic holding means H01L2224/75734in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75735in the upper part of the bonding apparatus, e.g. in the bonding head H01L2224/75743Suction holding means H01L2224/75744in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75745in the upper part of the bonding apparatus, e.g. in the bonding head H01L2224/75753Means for optical alignment, e.g. sensors H01L2224/75754Guiding structures H01L2224/75755in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/75756in the upper part of the bonding apparatus, e.g. in the bonding head H01L2224/758Means for moving parts H01L2224/75801Lower part of the bonding apparatus, e.g. XY table H01L2224/75802Rotational mechanism H01L2224/75803Pivoting mechanism H01L2224/75804Translational mechanism H01L2224/75821Upper part of the bonding apparatus, i.e. bonding head H01L2224/75822Rotational mechanism H01L2224/75823Pivoting mechanism H01L2224/75824Translational mechanism H01L2224/75841of the bonding head H01L2224/75842Rotational mechanism H01L2224/75843Pivoting mechanism H01L2224/759Means for monitoring the connection process H01L2224/75901using a computer, e.g. fully- or semi-automatic bonding H01L2224/7592Load or pressure adjusting means, e.g. sensors H01L2224/75925Vibration adjusting means, e.g. sensors H01L2224/7595Means for forming additional members H01L2224/7598specially adapted for batch processes H01L2224/75981Apparatus chuck H01L2224/75982Shape H01L2224/75983of the mounting surface H01L2224/75984of other portions H01L2224/75985Material H01L2224/75986Auxiliary members on the pressing surface H01L2224/75987Shape of the auxiliary member H01L2224/75988Material of the auxiliary member H01L2224/76Apparatus for connecting with build-up interconnects H01L2224/76001Calibration means H01L2224/7601Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma H01L2224/761Means for controlling the bonding environment, e.g. valves, vacuum pumps H01L2224/76101Chamber H01L2224/76102Vacuum chamber H01L2224/7611High pressure chamber H01L2224/7615Means for depositing H01L2224/76151Means for direct writing H01L2224/76152Syringe H01L2224/76155Jetting means, e.g. ink jet H01L2224/76158including a laser H01L2224/76161Means for screen printing, e.g. roller, squeegee, screen stencil H01L2224/7617Means for applying a preform, e.g. laminator H01L2224/76171including a vacuum-bag H01L2224/7618Means for blanket deposition H01L2224/76181for spin coating, i.e. spin coater H01L2224/76182for curtain coating H01L2224/76183for immersion coating, i.e. bath H01L2224/76184for spray coating, i.e. nozzle H01L2224/76185Means for physical vapour deposition [PVD] H01L2224/76186Means for sputtering, e.g. target H01L2224/76187Means for evaporation H01L2224/76188Means for chemical vapour deposition [CVD], e.g. for laser CVD H01L2224/76189Means for plating, e.g. for electroplating, electroless plating H01L2224/762Protection means against electrical discharge H01L2224/7625Means for applying energy, e.g. heating means H01L2224/76251in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76252in the upper part of the bonding apparatus H01L2224/76253adapted for localised heating H01L2224/7626Polychromatic heating lamp H01L2224/76261Laser H01L2224/76262in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76263in the upper part of the bonding apparatus H01L2224/76264by induction heating, i.e. coils H01L2224/76265in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76266in the upper part of the bonding apparatus H01L2224/76267Flame torch, e.g. hydrogen torch H01L2224/76268Discharge electrode H01L2224/76269Shape of the discharge electrode H01L2224/7627Material of the discharge electrode H01L2224/76271Circuitry of the discharge electrode H01L2224/76272Oven H01L2224/7628Resistance welding electrodes, i.e. for ohmic heating H01L2224/76281in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76282in the upper part of the bonding apparatus H01L2224/76283by infrared heating, e.g. infrared heating lamp H01L2224/763by means of pressure H01L2224/76301Pressing head H01L2224/76302Shape H01L2224/76303of the pressing surface H01L2224/76304being curved H01L2224/76305comprising protrusions H01L2224/7631of other parts H01L2224/76312Material H01L2224/76313Removable pressing head H01L2224/76314Auxiliary members on the pressing surface H01L2224/76315Elastomer inlay H01L2224/76316with retaining mechanisms H01L2224/76317Removable auxiliary member H01L2224/76318Shape of the auxiliary member H01L2224/7632Material of the auxiliary member H01L2224/76343by ultrasonic vibrations H01L2224/76344Eccentric cams H01L2224/76345in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76346in the upper part of the bonding apparatus H01L2224/76347Piezoelectric transducers H01L2224/76348in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76349in the upper part of the bonding apparatus H01L2224/7635Stable and mobile yokes H01L2224/76351in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76352in the upper part of the bonding apparatus H01L2224/76353Ultrasonic horns H01L2224/76354in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76355Design, e.g. of the wave guide H01L2224/765Cooling means H01L2224/76501in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76502in the upper part of the bonding apparatus H01L2224/7655Mechanical means, e.g. for planarising, pressing, stamping H01L2224/76552for drilling H01L2224/76554for abrasive blasting, e.g. sand blasting, wet blasting, hydro-blasting, dry ice blasting H01L2224/766Means for supplying the material of the interconnect H01L2224/76601Storing means H01L2224/76611Feeding means H01L2224/76621Holding means H01L2224/7665Means for transporting the components to be connected H01L2224/76651Belt conveyor H01L2224/76652Chain conveyor H01L2224/76653Vibrating conveyor H01L2224/76654Pneumatic conveyor H01L2224/76655in a fluid H01L2224/767Means for aligning H01L2224/76701in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76702in the upper part of the bonding apparatus H01L2224/76703Mechanical holding means H01L2224/76704in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76705in the upper part of the bonding apparatus H01L2224/76723Electrostatic holding means H01L2224/76724in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76725in the upper part of the bonding apparatus H01L2224/76733Magnetic holding means H01L2224/76734in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76735in the upper part of the bonding apparatus H01L2224/76743Suction holding means H01L2224/76744in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76745in the upper part of the bonding apparatus H01L2224/76753Means for optical alignment, e.g. sensors H01L2224/76754Guiding structures H01L2224/76755in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/76756in the upper part of the bonding apparatus H01L2224/768Means for moving parts H01L2224/76801Lower part of the bonding apparatus, e.g. XY table H01L2224/76802Rotational mechanism H01L2224/76803Pivoting mechanism H01L2224/76804Translational mechanism H01L2224/76821Upper part of the bonding apparatus, i.e. bonding head H01L2224/76822Rotational mechanism H01L2224/76823Pivoting mechanism H01L2224/76824Translational mechanism H01L2224/76841of the bonding head H01L2224/76842Rotational mechanism H01L2224/76843Pivoting mechanism H01L2224/769Means for monitoring the connection process H01L2224/76901using a computer, e.g. fully- or semi-automatic bonding H01L2224/7692Load or pressure adjusting means, e.g. sensors H01L2224/76925Vibration adjusting means, e.g. sensors H01L2224/7695Means for forming additional members H01L2224/7698specially adapted for batch processes H01L2224/76981Apparatus chuck H01L2224/76982Shape H01L2224/76983of the mounting surface H01L2224/76984of other portions H01L2224/76985Material H01L2224/76986Auxiliary members on the pressing surface H01L2224/76987Shape of the auxiliary member H01L2224/76988Material of the auxiliary member H01L2224/77Apparatus for connecting with strap connectors H01L2224/77001Calibration means H01L2224/7701Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma H01L2224/771Means for controlling the bonding environment, e.g. valves, vacuum pumps H01L2224/77101Chamber H01L2224/77102Vacuum chamber H01L2224/7711High pressure chamber H01L2224/7715Means for applying permanent coating, e.g. in-situ coating H01L2224/77151Means for direct writing H01L2224/77152Syringe H01L2224/77153integrated into the capillary or wedge H01L2224/77155Jetting means, e.g. ink jet H01L2224/77158including a laser H01L2224/77161Means for screen printing, e.g. roller, squeegee, screen stencil H01L2224/7717Means for applying a preform, e.g. laminator H01L2224/77171including a vacuum-bag H01L2224/7718Means for blanket deposition H01L2224/77181for spin coating, i.e. spin coater H01L2224/77182for curtain coating H01L2224/77183for immersion coating, i.e. bath H01L2224/77184for spray coating, i.e. nozzle H01L2224/77185Means for physical vapour deposition [PVD], e.g. evaporation, sputtering H01L2224/77186Means for sputtering, e.g. target H01L2224/77187Means for evaporation H01L2224/77188Means for chemical vapour deposition [CVD], e.g. for laser CVD H01L2224/77189Means for plating, e.g. for electroplating, electroless plating H01L2224/772Protection means against electrical discharge H01L2224/7725Means for applying energy, e.g. heating means H01L2224/77251in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/77252in the upper part of the bonding apparatus, e.g. in the wedge H01L2224/77253adapted for localised heating H01L2224/7726Polychromatic heating lamp H01L2224/77261Laser H01L2224/77262in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/77263in the upper part of the bonding apparatus, e.g. in the wedge H01L2224/77264by induction heating, i.e. coils H01L2224/77265in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/77266in the upper part of the bonding apparatus, e.g. in the wedge H01L2224/77267Flame torch, e.g. hydrogen torch H01L2224/77268Discharge electrode H01L2224/77269Shape of the discharge electrode H01L2224/7727Material of the discharge electrode H01L2224/77271Circuitry of the discharge electrode H01L2224/77272Oven H01L2224/7728Resistance welding electrodes, i.e. for ohmic heating H01L2224/77281in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/77282in the upper part of the bonding apparatus, e.g. in the wedge H01L2224/77283by infrared heating, e.g. infrared heating lamp H01L2224/773by means of pressure H01L2224/77313Wedge H01L2224/77314Shape H01L2224/77315of the pressing surface, e.g. tip or head H01L2224/77316comprising protrusions H01L2224/77317of other portions H01L2224/77318inside the capillary H01L2224/77319outside the capillary H01L2224/7732Removable wedge H01L2224/77321Material H01L2224/77325Auxiliary members on the pressing surface H01L2224/77326Removable auxiliary member H01L2224/77327Shape of the auxiliary member H01L2224/77328Material of the auxiliary member H01L2224/77343by ultrasonic vibrations H01L2224/77344Eccentric cams H01L2224/77345in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/77346in the upper part of the bonding apparatus, e.g. in the wedge H01L2224/77347Piezoelectric transducers H01L2224/77348in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/77349in the upper part of the bonding apparatus, e.g. in the wedge H01L2224/7735Stable and mobile yokes H01L2224/77351in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/77352in the upper part of the bonding apparatus, e.g. in the wedge H01L2224/77353Ultrasonic horns H01L2224/77354in the lower part of the bonding apparatus, e.g. in the mounting chuck H01L2224/77355Design, e.g. of the wave guide H01L2224/775Cooling means H01L2224/77501in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/77502in the upper part of the bonding apparatus, e.g. in the wedge H01L2224/7755Mechanical means, e.g. for severing, pressing, stamping H01L2224/776Means for supplying the connector to be connected in the bonding apparatus H01L2224/77601Storing means H01L2224/77611Feeding means H01L2224/77621Holding means, e.g. wire clampers H01L2224/77631Means for wire tension adjustments H01L2224/7765Means for transporting the components to be connected H01L2224/77651Belt conveyor H01L2224/77652Chain conveyor H01L2224/77653Vibrating conveyor H01L2224/77654Pneumatic conveyor H01L2224/77655in a fluid H01L2224/777Means for aligning H01L2224/77701in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/77702in the upper part of the bonding apparatus, e.g. in the wedge H01L2224/77703Mechanical holding means H01L2224/77704in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/77705in the upper part of the bonding apparatus, e.g. in the wedge H01L2224/77723Electrostatic holding means H01L2224/77724in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/77725in the upper part of the bonding apparatus, e.g. in the wedge H01L2224/77733Magnetic holding means H01L2224/77734in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/77735in the upper part of the bonding apparatus, e.g. in the wedge H01L2224/77743Suction holding means H01L2224/77744in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/77745in the upper part of the bonding apparatus, e.g. in the wedge H01L2224/77753Means for optical alignment, e.g. sensors H01L2224/77754Guiding structures H01L2224/77755in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/77756in the upper part of the bonding apparatus, e.g. in the wedge H01L2224/778Means for moving parts H01L2224/77801Lower part of the bonding apparatus, e.g. XY table H01L2224/77802Rotational mechanism H01L2224/77803Pivoting mechanism H01L2224/77804Translational mechanism H01L2224/77821Upper part of the bonding apparatus, i.e. bonding head, e.g. capillary or wedge H01L2224/77822Rotational mechanism H01L2224/77823Pivoting mechanism H01L2224/77824Translational mechanism H01L2224/77841of the pressing portion, e.g. tip or head H01L2224/77842Rotational mechanism H01L2224/77843Pivoting mechanism H01L2224/779Means for monitoring the connection process H01L2224/77901using a computer, e.g. fully- or semi-automatic bonding H01L2224/7792Load or pressure adjusting means, e.g. sensors H01L2224/77925Vibration adjusting means, e.g. sensors H01L2224/7795Means for forming additional members H01L2224/7798specially adapted for batch processes H01L2224/77981Apparatus chuck H01L2224/77982Shape H01L2224/77983of the mounting surface H01L2224/77984of other portions H01L2224/77985Material H01L2224/77986Auxiliary members on the pressing surface H01L2224/77987Shape of the auxiliary member H01L2224/77988Material of the auxiliary member H01L2224/78Apparatus for connecting with wire connectors H01L2224/78001Calibration means H01L2224/7801Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma H01L2224/781Means for controlling the bonding environment, e.g. valves, vacuum pumps H01L2224/78101Chamber H01L2224/78102Vacuum chamber H01L2224/7811High pressure chamber H01L2224/7815Means for applying permanent coating, e.g. in-situ coating H01L2224/782Protection means against electrical discharge H01L2224/7825Means for applying energy, e.g. heating means H01L2224/78251in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/78252in the upper part of the bonding apparatus, e.g. in the capillary or wedge H01L2224/78253adapted for localised heating H01L2224/7826Polychromatic heating lamp H01L2224/78261Laser H01L2224/78262in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/78263in the upper part of the bonding apparatus, e.g. in the capillary or wedge H01L2224/78264by induction heating, i.e. coils H01L2224/78265in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/78266in the upper part of the bonding apparatus, e.g. in the capillary or wedge H01L2224/78267Flame torch, e.g. hydrogen torch H01L2224/78268Discharge electrode H01L2224/78269Shape of the discharge electrode H01L2224/7827Material of the discharge electrode H01L2224/78271Circuitry of the discharge electrode H01L2224/78272Oven H01L2224/7828Resistance welding electrodes, i.e. for ohmic heating H01L2224/78281in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/78282in the upper part of the bonding apparatus, e.g. in the capillary or wedge H01L2224/78283by infrared heating, e.g. infrared heating lamp H01L2224/783by means of pressure H01L2224/78301Capillary H01L2224/78302Shape H01L2224/78303of the pressing surface, e.g. tip or head H01L2224/78304comprising protrusions H01L2224/78305of other portions H01L2224/78306inside the capillary H01L2224/78307outside the capillary H01L2224/78308Removable capillary H01L2224/78309Material H01L2224/7831Auxiliary members on the pressing surface H01L2224/78311Removable auxiliary member H01L2224/78312Shape of the auxiliary member H01L2224/78313Wedge H01L2224/78314Shape H01L2224/78315of the pressing surface, e.g. tip or head H01L2224/78316comprising protrusions H01L2224/78317of other portions H01L2224/78318inside the capillary H01L2224/78319outside the capillary H01L2224/7832Removable wedge H01L2224/78321Material H01L2224/78325Auxiliary members on the pressing surface H01L2224/78326Removable auxiliary member H01L2224/78327Shape of the auxiliary member H01L2224/78328Material of the auxiliary member H01L2224/78343by ultrasonic vibrations H01L2224/78344Eccentric cams H01L2224/78345in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/78346in the upper part of the bonding apparatus, e.g. in the capillary or wedge H01L2224/78347Piezoelectric transducers H01L2224/78348in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/78349in the upper part of the bonding apparatus, e.g. in the capillary or wedge H01L2224/7835Stable and mobile yokes H01L2224/78351in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/78352in the upper part of the bonding apparatus, e.g. in the capillary or wedge H01L2224/78353Ultrasonic horns H01L2224/78354in the lower part of the bonding apparatus, e.g. in the mounting chuck H01L2224/78355Design, e.g. of the wave guide H01L2224/785Cooling means H01L2224/78501in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/78502in the upper part of the bonding apparatus, e.g. in the capillary or wedge H01L2224/7855Mechanical means, e.g. for severing, pressing, stamping H01L2224/786Means for supplying the connector to be connected in the bonding apparatus H01L2224/78601Storing means H01L2224/78611Feeding means H01L2224/78621Holding means, e.g. wire clampers H01L2224/78631Means for wire tension adjustments H01L2224/7865Means for transporting the components to be connected H01L2224/78651Belt conveyor H01L2224/78652Chain conveyor H01L2224/78653Vibrating conveyor H01L2224/78654Pneumatic conveyor H01L2224/78655in a fluid H01L2224/787Means for aligning H01L2224/78701in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/78702in the upper part of the bonding apparatus, e.g. in the capillary or wedge H01L2224/78703Mechanical holding means H01L2224/78704in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/78705in the upper part of the bonding apparatus, e.g. in the capillary or wedge H01L2224/78723Electrostatic holding means H01L2224/78724in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/78725in the upper part of the bonding apparatus, e.g. in the capillary or wedge H01L2224/78733Magnetic holding means H01L2224/78734in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/78735in the upper part of the bonding apparatus, e.g. in the capillary or wedge H01L2224/78743Suction holding means H01L2224/78744in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/78745in the upper part of the bonding apparatus, e.g. in the capillary or wedge H01L2224/78753Means for optical alignment, e.g. sensors H01L2224/78754Guiding structures H01L2224/78755in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/78756in the upper part of the bonding apparatus, e.g. in the capillary or wedge H01L2224/788Means for moving parts H01L2224/78801Lower part of the bonding apparatus, e.g. XY table H01L2224/78802Rotational mechanism H01L2224/78803Pivoting mechanism H01L2224/78804Translational mechanism H01L2224/78821Upper part of the bonding apparatus, i.e. bonding head, e.g. capillary or wedge H01L2224/78822Rotational mechanism H01L2224/78823Pivoting mechanism H01L2224/78824Translational mechanism H01L2224/78841of the pressing portion, e.g. tip or head H01L2224/78842Rotational mechanism H01L2224/78843Pivoting mechanism H01L2224/789Means for monitoring the connection process H01L2224/78901using a computer, e.g. fully- or semi-automatic bonding H01L2224/7892Load or pressure adjusting means, e.g. sensors H01L2224/78925Vibration adjusting means, e.g. sensors H01L2224/7895Means for forming additional members H01L2224/7898specially adapted for batch processes H01L2224/78981Apparatus chuck H01L2224/78982Shape H01L2224/78983of the mounting surface H01L2224/78984of other portions H01L2224/78985Material H01L2224/78986Auxiliary members on the pressing surface H01L2224/78987Shape of the auxiliary member H01L2224/78988Material of the auxiliary member H01L2224/79Apparatus for Tape Automated Bonding [TAB] H01L2224/79001Calibration means H01L2224/7901Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma H01L2224/791Means for controlling the bonding environment, e.g. valves, vacuum pumps H01L2224/79101Chamber H01L2224/79102Vacuum chamber H01L2224/7911High pressure chamber H01L2224/7915Means for applying permanent coating H01L2224/79151Means for direct writing H01L2224/79152Syringe H01L2224/79153integrated into the pressing head H01L2224/79155Jetting means, e.g. ink jet H01L2224/79158including a laser H01L2224/79161Means for screen printing, e.g. roller, squeegee, screen stencil H01L2224/7917Means for applying a preform, e.g. laminator H01L2224/79171including a vacuum-bag H01L2224/7918Means for blanket deposition H01L2224/79181for spin coating, i.e. spin coater H01L2224/79182for curtain coating H01L2224/79183for immersion coating, i.e. bath H01L2224/79184for spray coating, i.e. nozzle H01L2224/79185Means for physical vapour deposition [PVD], e.g. evaporation, sputtering H01L2224/79186Means for sputtering, e.g. target H01L2224/79187Means for evaporation H01L2224/79188Means for chemical vapour deposition [CVD], e.g. for laser CVD H01L2224/79189Means for plating, e.g. for electroplating, electroless plating H01L2224/792Protection means against electrical discharge H01L2224/7925Means for applying energy, e.g. heating means H01L2224/79251in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79252in the upper part of the bonding apparatus, e.g. in the pressing head H01L2224/79253adapted for localised heating H01L2224/7926Polychromatic heating lamp H01L2224/79261Laser H01L2224/79262in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79263in the upper part of the bonding apparatus, e.g. in the pressing head H01L2224/79264by induction heating, i.e. coils H01L2224/79265in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79266in the upper part of the bonding apparatus, e.g. in the pressing head H01L2224/79267Flame torch, e.g. hydrogen torch H01L2224/79268Discharge electrode H01L2224/79269Shape of the discharge electrode H01L2224/7927Material of the discharge electrode H01L2224/79271Circuitry of the discharge electrode H01L2224/79272Oven H01L2224/7928Resistance welding electrodes, i.e. for ohmic heating H01L2224/79281in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79282in the upper part of the bonding apparatus, e.g. in the pressing head H01L2224/79283by infrared heating, e.g. infrared heating lamp H01L2224/793by means of pressure H01L2224/79301Pressing head H01L2224/79302Shape H01L2224/79303of the pressing surface H01L2224/79304being curved H01L2224/79305comprising protrusions H01L2224/7931of other parts H01L2224/79312Material H01L2224/79313Removable pressing head H01L2224/79314Auxiliary members on the pressing surface H01L2224/79315Elastomer inlay H01L2224/79316with retaining mechanisms H01L2224/79317Removable auxiliary member H01L2224/79318Shape of the auxiliary member H01L2224/7932Material of the auxiliary member H01L2224/79343by ultrasonic vibrations H01L2224/79344Eccentric cams H01L2224/79345in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79346in the upper part of the bonding apparatus, e.g. in the pressing head H01L2224/79347Piezoelectric transducers H01L2224/79348in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79349in the upper part of the bonding apparatus, e.g. in the pressing head H01L2224/7935Stable and mobile yokes H01L2224/79351in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79352in the upper part of the bonding apparatus, e.g. in the pressing head H01L2224/79353Ultrasonic horns H01L2224/79354in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79355Design, e.g. of the wave guide H01L2224/795Cooling means H01L2224/79501in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79502in the upper part of the bonding apparatus, e.g. in the pressing head H01L2224/7955Mechanical means, e.g. for pressing, stamping H01L2224/796Means for supplying the connector to be connected in the bonding apparatus H01L2224/79601Storing means H01L2224/79611Feeding means H01L2224/79621Holding means H01L2224/7965Means for transporting the components to be connected H01L2224/79651Belt conveyor H01L2224/79652Chain conveyor H01L2224/79653Vibrating conveyor H01L2224/79654Pneumatic conveyor H01L2224/79655in a fluid H01L2224/797Means for aligning H01L2224/79701in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79702in the upper part of the bonding apparatus, e.g. in the pressing head H01L2224/79703Mechanical holding means H01L2224/79704in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79705in the upper part of the bonding apparatus, e.g. in the pressing head H01L2224/79723Electrostatic holding means H01L2224/79724in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79725in the upper part of the bonding apparatus, e.g. in the pressing head H01L2224/79733Magnetic holding means H01L2224/79734in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79735in the upper part of the bonding apparatus, e.g. in the pressing head H01L2224/79743Suction holding means H01L2224/79744in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79745in the upper part of the bonding apparatus, e.g. in the pressing head H01L2224/79753Means for optical alignment, e.g. sensors H01L2224/79754Guiding structures H01L2224/79755in the lower part of the bonding apparatus, e.g. in the apparatus chuck H01L2224/79756in the upper part of the bonding apparatus, e.g. in the pressing head H01L2224/798Means for moving parts H01L2224/79801Lower part of the bonding apparatus, e.g. XY table H01L2224/79802Rotational mechanism H01L2224/79803Pivoting mechanism H01L2224/79804Translational mechanism H01L2224/79821Upper part of the bonding apparatus, i.e. pressing head H01L2224/79822Rotational mechanism H01L2224/79823Pivoting mechanism H01L2224/79824Translational mechanism H01L2224/79841of the pressing head H01L2224/79842Rotational mechanism H01L2224/79843Pivoting mechanism H01L2224/799Means for monitoring the connection process H01L2224/79901using a computer, e.g. fully- or semi-automatic bonding H01L2224/7992Load or pressure adjusting means, e.g. sensors H01L2224/79925Vibration adjusting means, e.g. sensors H01L2224/7995Means for forming additional members H01L2224/7998specially adapted for batch processes H01L2224/79981Apparatus chuck H01L2224/79982Shape H01L2224/79983of the mounting surface H01L2224/79984of other portions H01L2224/79985Material H01L2224/79986Auxiliary members on the pressing surface H01L2224/79987Shape of the auxiliary member H01L2224/79988Material of the auxiliary member H01L2224/7999for disconnecting H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected H01L2224/80001by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding H01L2224/80003involving a temporary auxiliary member not forming part of the bonding apparatus H01L2224/80004being a removable or sacrificial coating H01L2224/80006being a temporary or sacrificial substrate H01L2224/80007involving a permanent auxiliary member being left in the finished device, e.g. aids for protecting the bonding area during or after the bonding process H01L2224/80009Pre-treatment of the bonding area H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing H01L2224/80011Chemical cleaning, e.g. etching, flux H01L2224/80012Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow H01L2224/80013Plasma cleaning H01L2224/80014Thermal cleaning, e.g. decomposition, sublimation H01L2224/80019Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8001 - H01L2224/80014 H01L2224/8002Applying permanent coating to the bonding area in the bonding apparatus, e.g. in-situ coating H01L2224/80024Applying flux to the bonding area in the bonding apparatus H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area H01L2224/80031by chemical means, e.g. etching, anodisation H01L2224/80035by heating means H01L2224/80037using a polychromatic heating lamp H01L2224/80039using a laser H01L2224/80041Induction heating, i.e. eddy currents H01L2224/80047by mechanical means, e.g. severing, pressing, stamping H01L2224/80048Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling H01L2224/80051Forming additional members H01L2224/80052Detaching bonding areas, e.g. after testing unsoldering in general B23K1/018 H01L2224/80053Bonding environment H01L2224/80054Composition of the atmosphere H01L2224/80055being oxidating H01L2224/80065being reducing H01L2224/80075being inert H01L2224/80085being a liquid, e.g. for fluidic self-assembly H01L2224/8009Vacuum H01L2224/80091Under pressure H01L2224/80092Atmospheric pressure H01L2224/80093Transient conditions, e.g. gas-flow H01L2224/80095Temperature settings H01L2224/80096Transient conditions H01L2224/80097Heating H01L2224/80098Cooling H01L2224/80099Ambient temperature H01L2224/8011involving protection against electrical discharge, e.g. removing electrostatic charge H01L2224/8012Aligning H01L2224/80121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors H01L2224/80122by detecting inherent features of, or outside, the semiconductor or solid-state body H01L2224/80123Shape or position of the body H01L2224/80125Bonding areas on the body H01L2224/80127Bonding areas outside the body H01L2224/80129Shape or position of the other item H01L2224/8013using marks formed on the semiconductor or solid-state body H01L2224/80132using marks formed outside the semiconductor or solid-state body, i.e. "off-chip" H01L2224/80136involving guiding structures, e.g. spacers or supporting members H01L2224/80138the guiding structures being at least partially left in the finished device H01L2224/80139Guiding structures on the body H01L2224/8014Guiding structures outside the body H01L2224/80141Guiding structures both on and outside the body H01L2224/80143Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium H01L2224/80148involving movement of a part of the bonding apparatus H01L2224/80149being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table H01L2224/8015Rotational movements H01L2224/8016Translational movements H01L2224/80169being the upper part of the bonding apparatus, i.e. bonding head H01L2224/8017Rotational movements H01L2224/8018Translational movements H01L2224/8019Arrangement of the bonding areas prior to mounting H01L2224/80194Lateral distribution of the bonding areas H01L2224/802Applying energy for connecting H01L2224/80201Compression bonding H01L2224/80203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding H01L2224/80204with a graded temperature profile H01L2224/80205Ultrasonic bonding H01L2224/80206Direction of oscillation H01L2224/80207Thermosonic bonding H01L2224/80209applying unidirectional static pressure H01L2224/80211applying isostatic pressure, e.g. degassing using vacuum or a pressurised liquid H01L2224/80213using a reflow oven H01L2224/80215with a graded temperature profile H01L2224/8022with energy being in the form of electromagnetic radiation H01L2224/80222Induction heating, i.e. eddy currents H01L2224/80224using a laser H01L2224/8023Polychromatic or infrared lamp heating H01L2224/80232using an autocatalytic reaction, e.g. exothermic brazing H01L2224/80234using means for applying energy being within the device, e.g. integrated heater H01L2224/80236using electro-static corona discharge H01L2224/80237using an electron beam electron beam welding in general B23K15/00 H01L2224/80238using electric resistance welding, i.e. ohmic heating H01L2224/8034Bonding interfaces of the bonding area H01L2224/80345Shape, e.g. interlocking features H01L2224/80355having an external coating, e.g. protective bond-through coating H01L2224/80357being flush with the surface H01L2224/80359Material H01L2224/8036Bonding interfaces of the semiconductor or solid state body H01L2224/80365Shape, e.g. interlocking features H01L2224/80375having an external coating, e.g. protective bond-through coating H01L2224/80379Material material of the bonding area prior to the connecting process H01L2224/05099 and H01L2224/05599 H01L2224/8038Bonding interfaces outside the semiconductor or solid-state body H01L2224/80385Shape, e.g. interlocking features H01L2224/80395having an external coating, e.g. protective bond-through coating H01L2224/80399Material H01L2224/804with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/80401the principal constituent melting at a temperature of less than 400°C H01L2224/80405Gallium [Ga] as principal constituent H01L2224/80409Indium [In] as principal constituent H01L2224/80411Tin [Sn] as principal constituent H01L2224/80413Bismuth [Bi] as principal constituent H01L2224/80414Thallium [Tl] as principal constituent H01L2224/80416Lead [Pb] as principal constituent H01L2224/80417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/80418Zinc [Zn] as principal constituent H01L2224/8042Antimony [Sb] as principal constituent H01L2224/80423Magnesium [Mg] as principal constituent H01L2224/80424Aluminium [Al] as principal constituent H01L2224/80438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/80439Silver [Ag] as principal constituent H01L2224/80444Gold [Au] as principal constituent H01L2224/80447Copper [Cu] as principal constituent H01L2224/80449Manganese [Mn] as principal constituent H01L2224/80455Nickel [Ni] as principal constituent H01L2224/80457Cobalt [Co] as principal constituent H01L2224/8046Iron [Fe] as principal constituent H01L2224/80463the principal constituent melting at a temperature of greater than 1550°C H01L2224/80464Palladium [Pd] as principal constituent H01L2224/80466Titanium [Ti] as principal constituent H01L2224/80469Platinum [Pt] as principal constituent H01L2224/8047Zirconium [Zr] as principal constituent H01L2224/80471Chromium [Cr] as principal constituent H01L2224/80472Vanadium [V] as principal constituent H01L2224/80473Rhodium [Rh] as principal constituent H01L2224/80476Ruthenium [Ru] as principal constituent H01L2224/80478Iridium [Ir] as principal constituent H01L2224/80479Niobium [Nb] as principal constituent H01L2224/8048Molybdenum [Mo] as principal constituent H01L2224/80481Tantalum [Ta] as principal constituent H01L2224/80483Rhenium [Re] as principal constituent H01L2224/80484Tungsten [W] as principal constituent H01L2224/80486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/80487Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/80488 H01L2224/80488Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8049with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/80491The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/80493with a principal constituent of the material being a solid not provided for in groups H01L2224/804 - H01L2224/80491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/80494with a principal constituent of the material being a liquid not provided for in groups H01L2224/804 - H01L2224/80491 H01L2224/80495with a principal constituent of the material being a gas not provided for in groups H01L2224/804 - H01L2224/80491 H01L2224/80498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/80499Material of the matrix H01L2224/805with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/80501the principal constituent melting at a temperature of less than 400°C H01L2224/80505Gallium [Ga] as principal constituent H01L2224/80509Indium [In] as principal constituent H01L2224/80511Tin [Sn] as principal constituent H01L2224/80513Bismuth [Bi] as principal constituent H01L2224/80514Thallium [Tl] as principal constituent H01L2224/80516Lead [Pb] as principal constituent H01L2224/80517the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/80518Zinc [Zn] as principal constituent H01L2224/8052Antimony [Sb] as principal constituent H01L2224/80523Magnesium [Mg] as principal constituent H01L2224/80524Aluminium [Al] as principal constituent H01L2224/80538the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/80539Silver [Ag] as principal constituent H01L2224/80544Gold [Au] as principal constituent H01L2224/80547Copper [Cu] as principal constituent H01L2224/80549Manganese [Mn] as principal constituent H01L2224/80555Nickel [Ni] as principal constituent H01L2224/80557Cobalt [Co] as principal constituent H01L2224/8056Iron [Fe] as principal constituent H01L2224/80563the principal constituent melting at a temperature of greater than 1550°C H01L2224/80564Palladium [Pd] as principal constituent H01L2224/80566Titanium [Ti] as principal constituent H01L2224/80569Platinum [Pt] as principal constituent H01L2224/8057Zirconium [Zr] as principal constituent H01L2224/80571Chromium [Cr] as principal constituent H01L2224/80572Vanadium [V] as principal constituent H01L2224/80573Rhodium [Rh] as principal constituent H01L2224/80576Ruthenium [Ru] as principal constituent H01L2224/80578Iridium [Ir] as principal constituent H01L2224/80579Niobium [Nb] as principal constituent H01L2224/8058Molybdenum [Mo] as principal constituent H01L2224/80581Tantalum [Ta] as principal constituent H01L2224/80583Rhenium [Re] as principal constituent H01L2224/80584Tungsten [W] as principal constituent H01L2224/80586with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/80587Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/80588 H01L2224/80588Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8059with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/80591The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/80593with a principal constituent of the material being a solid not provided for in groups H01L2224/805 - H01L2224/80591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/80594with a principal constituent of the material being a liquid not provided for in groups H01L2224/805 - H01L2224/80591 H01L2224/80595with a principal constituent of the material being a gas not provided for in groups H01L2224/805 - H01L2224/80591 H01L2224/80598Fillers H01L2224/80599Base material H01L2224/806with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/80601the principal constituent melting at a temperature of less than 400°C H01L2224/80605Gallium [Ga] as principal constituent H01L2224/80609Indium [In] as principal constituent H01L2224/80611Tin [Sn] as principal constituent H01L2224/80613Bismuth [Bi] as principal constituent H01L2224/80614Thallium [Tl] as principal constituent H01L2224/80616Lead [Pb] as principal constituent H01L2224/80617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/80618Zinc [Zn] as principal constituent H01L2224/8062Antimony [Sb] as principal constituent H01L2224/80623Magnesium [Mg] as principal constituent H01L2224/80624Aluminium [Al] as principal constituent H01L2224/80638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/80639Silver [Ag] as principal constituent H01L2224/80644Gold [Au] as principal constituent H01L2224/80647Copper [Cu] as principal constituent H01L2224/80649Manganese [Mn] as principal constituent H01L2224/80655Nickel [Ni] as principal constituent H01L2224/80657Cobalt [Co] as principal constituent H01L2224/8066Iron [Fe] as principal constituent H01L2224/80663the principal constituent melting at a temperature of greater than 1550°C H01L2224/80664Palladium [Pd] as principal constituent H01L2224/80666Titanium [Ti] as principal constituent H01L2224/80669Platinum [Pt] as principal constituent H01L2224/8067Zirconium [Zr] as principal constituent H01L2224/80671Chromium [Cr] as principal constituent H01L2224/80672Vanadium [V] as principal constituent H01L2224/80673Rhodium [Rh] as principal constituent H01L2224/80676Ruthenium [Ru] as principal constituent H01L2224/80678Iridium [Ir] as principal constituent H01L2224/80679Niobium [Nb] as principal constituent H01L2224/8068Molybdenum [Mo] as principal constituent H01L2224/80681Tantalum [Ta] as principal constituent H01L2224/80683Rhenium [Re] as principal constituent H01L2224/80684Tungsten [W] as principal constituent H01L2224/80686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/80687Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/80688 H01L2224/80688Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8069with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/80691The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/80693with a principal constituent of the material being a solid not provided for in groups H01L2224/806 - H01L2224/80691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/80694with a principal constituent of the material being a liquid not provided for in groups H01L2224/806 - H01L2224/80691 H01L2224/80695with a principal constituent of the material being a gas not provided for in groups H01L2224/806 - H01L2224/80691 H01L2224/80698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/80699Coating material H01L2224/807with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/80701the principal constituent melting at a temperature of less than 400°C H01L2224/80705Gallium [Ga] as principal constituent H01L2224/80709Indium [In] as principal constituent H01L2224/80711Tin [Sn] as principal constituent H01L2224/80713Bismuth [Bi] as principal constituent H01L2224/80714Thallium [Tl] as principal constituent H01L2224/80716Lead [Pb] as principal constituent H01L2224/80717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/80718Zinc [Zn] as principal constituent H01L2224/8072Antimony [Sb] as principal constituent H01L2224/80723Magnesium [Mg] as principal constituent H01L2224/80724Aluminium [Al] as principal constituent H01L2224/80738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/80739Silver [Ag] as principal constituent H01L2224/80744Gold [Au] as principal constituent H01L2224/80747Copper [Cu] as principal constituent H01L2224/80749Manganese [Mn] as principal constituent H01L2224/80755Nickel [Ni] as principal constituent H01L2224/80757Cobalt [Co] as principal constituent H01L2224/8076Iron [Fe] as principal constituent H01L2224/80763the principal constituent melting at a temperature of greater than 1550°C H01L2224/80764Palladium [Pd] as principal constituent H01L2224/80766Titanium [Ti] as principal constituent H01L2224/80769Platinum [Pt] as principal constituent H01L2224/8077Zirconium [Zr] as principal constituent H01L2224/80771Chromium [Cr] as principal constituent H01L2224/80772Vanadium [V] as principal constituent H01L2224/80773Rhodium [Rh] as principal constituent H01L2224/80776Ruthenium [Ru] as principal constituent H01L2224/80778Iridium [Ir] as principal constituent H01L2224/80779Niobium [Nb] as principal constituent H01L2224/8078Molybdenum [Mo] as principal constituent H01L2224/80781Tantalum [Ta] as principal constituent H01L2224/80783Rhenium [Re] as principal constituent H01L2224/80784Tungsten [W] as principal constituent H01L2224/80786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/80787Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/80788 H01L2224/80788Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8079with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/80791The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/80793with a principal constituent of the material being a solid not provided for in groups H01L2224/807 - H01L2224/80791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/80794with a principal constituent of the material being a liquid not provided for in groups H01L2224/807 - H01L2224/80791 H01L2224/80795with a principal constituent of the material being a gas not provided for in groups H01L2224/807 - H01L2224/80791 H01L2224/80798with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/80799Shape or distribution of the fillers H01L2224/808Bonding techniques H01L2224/80801Soldering or alloying H01L2224/80805involving forming a eutectic alloy at the bonding interface H01L2224/8081involving forming an intermetallic compound at the bonding interface H01L2224/80815Reflow soldering H01L2224/8082Diffusion bonding H01L2224/80825Solid-liquid interdiffusion H01L2224/8083Solid-solid interdiffusion H01L2224/8084Sintering H01L2224/8085using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester H01L2224/80855Hardening the adhesive by curing, i.e. thermosetting H01L2224/80856Pre-cured adhesive, i.e. B-stage adhesive H01L2224/80859Localised curing of parts of the bonding area H01L2224/80862Heat curing H01L2224/80865Microwave curing H01L2224/80868Infrared [IR] curing H01L2224/80871Visible light curing H01L2224/80874Ultraviolet [UV] curing H01L2224/80877Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes H01L2224/8088Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives H01L2224/80885Combinations of two or more hardening methods provided for in at least two different groups from H01L2224/80855 - H01L2224/8088, e.g. for hybrid thermoplastic-thermosetting adhesives H01L2224/8089using an inorganic non metallic glass type adhesive, e.g. solder glass H01L2224/80893Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces H01L2224/80895between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding H01L2224/80896between electrically insulating surfaces, e.g. oxide or nitride layers H01L2224/80897Mechanical interlocking, e.g. anchoring, hook and loop-type fastening or the like H01L2224/80898Press-fitting, i.e. pushing the parts together and fastening by friction, e.g. by compression of one part against the other H01L2224/80899using resilient parts in the bonding area H01L2224/809with the bonding area not providing any mechanical bonding H01L2224/80901Pressing a bonding area against another bonding area by means of a further bonding area or connector detachable pressure contact H01L2224/72 H01L2224/80902by means of a further bonding area H01L2224/80903by means of a bump or layer connector H01L2224/80904by means of an encapsulation layer or foil H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904 H01L2224/80906Specific sequence of method steps H01L2224/80907Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step H01L2224/80908involving monitoring, e.g. feedback loop H01L2224/80909Post-treatment of the bonding area H01L2224/8091Cleaning, e.g. oxide removal step, desmearing H01L2224/80911Chemical cleaning, e.g. etching, flux H01L2224/80912Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow H01L2224/80913Plasma cleaning H01L2224/80914Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge H01L2224/80919Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8091 - H01L2224/80914 H01L2224/8092Applying permanent coating, e.g. protective coating H01L2224/8093Reshaping H01L2224/80931by chemical means, e.g. etching H01L2224/80935by heating means, e.g. reflowing H01L2224/80937using a polychromatic heating lamp H01L2224/80939using a laser H01L2224/80941Induction heating, i.e. eddy currents H01L2224/80943using a flame torch, e.g. hydrogen torch H01L2224/80945using a corona discharge, e.g. electronic flame off [EFO] H01L2224/80947by mechanical means, e.g. pull-and-cut, pressing, stamping H01L2224/80948Thermal treatments, e.g. annealing, controlled cooling H01L2224/80951Forming additional members, e.g. for reinforcing H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence H01L2224/81using a bump connector H01L2224/81001involving a temporary auxiliary member not forming part of the bonding apparatus H01L2224/81002being a removable or sacrificial coating H01L2224/81005being a temporary or sacrificial substrate H01L2224/81007involving a permanent auxiliary member being left in the finished device, e.g. aids for holding or protecting the bump connector during or after the bonding process H01L2224/81009Pre-treatment of the bump connector or the bonding area H01L2224/8101Cleaning the bump connector, e.g. oxide removal step, desmearing H01L2224/81011Chemical cleaning, e.g. etching, flux H01L2224/81012Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow H01L2224/81013Plasma cleaning H01L2224/81014Thermal cleaning, e.g. decomposition, sublimation H01L2224/81019Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8101 - H01L2224/81014 H01L2224/8102Applying permanent coating to the bump connector in the bonding apparatus, e.g. in-situ coating H01L2224/81022Cleaning the bonding area, e.g. oxide removal step, desmearing H01L2224/81024Applying flux to the bonding area H01L2224/81026Applying a precursor material to the bonding area H01L2224/8103Reshaping the bump connector in the bonding apparatus, e.g. flattening the bump connector H01L2224/81031by chemical means, e.g. etching, anodisation H01L2224/81035by heating means H01L2224/81037using a polychromatic heating lamp H01L2224/81039using a laser H01L2224/81041Induction heating, i.e. eddy currents H01L2224/81047by mechanical means, e.g. severing, pressing, stamping H01L2224/81048Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling H01L2224/81051Forming additional members H01L2224/81052Detaching bump connectors, e.g. after testing unsoldering in general B23K1/018 H01L2224/81053Bonding environment H01L2224/81054Composition of the atmosphere H01L2224/81055being oxidating H01L2224/81065being reducing H01L2224/81075being inert H01L2224/81085being a liquid, e.g. for fluidic self-assembly H01L2224/8109Vacuum H01L2224/81091Under pressure H01L2224/81092Atmospheric pressure H01L2224/81093Transient conditions, e.g. gas-flow H01L2224/81095Temperature settings H01L2224/81096Transient conditions H01L2224/81097Heating H01L2224/81098Cooling H01L2224/81099Ambient temperature H01L2224/811the bump connector being supplied to the parts to be connected in the bonding apparatus H01L2224/81101as prepeg comprising a bump connector, e.g. provided in an insulating plate member H01L2224/8111involving protection against electrical discharge, e.g. removing electrostatic charge H01L2224/8112Aligning H01L2224/81121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors H01L2224/81122by detecting inherent features of, or outside, the semiconductor or solid-state body H01L2224/81123Shape or position of the body H01L2224/81125Bonding areas on the body H01L2224/81127Bonding areas outside the body H01L2224/81129Shape or position of the other item H01L2224/8113using marks formed on the semiconductor or solid-state body H01L2224/81132using marks formed outside the semiconductor or solid-state body, i.e. "off-chip" H01L2224/81136involving guiding structures, e.g. spacers or supporting members H01L2224/81138the guiding structures being at least partially left in the finished device H01L2224/81139Guiding structures on the body H01L2224/8114Guiding structures outside the body H01L2224/81141Guiding structures both on and outside the body H01L2224/81143Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium H01L2224/81148involving movement of a part of the bonding apparatus H01L2224/81149being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table H01L2224/8115Rotational movements H01L2224/8116Translational movements H01L2224/81169being the upper part of the bonding apparatus, i.e. bonding head H01L2224/8117Rotational movements H01L2224/8118Translational movements H01L2224/8119Arrangement of the bump connectors prior to mounting H01L2224/81191wherein the bump connectors are disposed only on the semiconductor or solid-state body H01L2224/81192wherein the bump connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body H01L2224/81193wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body H01L2224/81194Lateral distribution of the bump connectors H01L2224/812Applying energy for connecting H01L2224/81201Compression bonding H01L2224/81203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding H01L2224/81204with a graded temperature profile H01L2224/81205Ultrasonic bonding H01L2224/81206Direction of oscillation H01L2224/81207Thermosonic bonding H01L2224/81208applying unidirectional static pressure H01L2224/81209applying isostatic pressure, e.g. degassing using vacuum or a pressurised liquid H01L2224/8121using a reflow oven H01L2224/81211with a graded temperature profile H01L2224/8122with energy being in the form of electromagnetic radiation H01L2224/81222Induction heating, i.e. eddy currents H01L2224/81224using a laser H01L2224/8123Polychromatic or infrared lamp heating H01L2224/81232using an autocatalytic reaction, e.g. exothermic brazing H01L2224/81234using means for applying energy being within the device, e.g. integrated heater H01L2224/81236using electro-static corona discharge H01L2224/81237using an electron beam electron beam welding in general B23K15/00 H01L2224/81238using electric resistance welding, i.e. ohmic heating H01L2224/8134Bonding interfaces of the bump connector H01L2224/81345Shape, e.g. interlocking features H01L2224/81355having an external coating, e.g. protective bond-through coating H01L2224/81359Material H01L2224/8136Bonding interfaces of the semiconductor or solid state body H01L2224/81365Shape, e.g. interlocking features H01L2224/81375having an external coating, e.g. protective bond-through coating H01L2224/81379Material material of the bump connector prior to the connecting process H01L2224/13099 and H01L2224/13599, and subgroups H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body H01L2224/81385Shape, e.g. interlocking features H01L2224/81395having an external coating, e.g. protective bond-through coating H01L2224/81399Material H01L2224/814with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/81401the principal constituent melting at a temperature of less than 400°C H01L2224/81405Gallium [Ga] as principal constituent H01L2224/81409Indium [In] as principal constituent H01L2224/81411Tin [Sn] as principal constituent H01L2224/81413Bismuth [Bi] as principal constituent H01L2224/81414Thallium [Tl] as principal constituent H01L2224/81416Lead [Pb] as principal constituent H01L2224/81417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/81418Zinc [Zn] as principal constituent H01L2224/8142Antimony [Sb] as principal constituent H01L2224/81423Magnesium [Mg] as principal constituent H01L2224/81424Aluminium [Al] as principal constituent H01L2224/81438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/81439Silver [Ag] as principal constituent H01L2224/81444Gold [Au] as principal constituent H01L2224/81447Copper [Cu] as principal constituent H01L2224/81449Manganese [Mn] as principal constituent H01L2224/81455Nickel [Ni] as principal constituent H01L2224/81457Cobalt [Co] as principal constituent H01L2224/8146Iron [Fe] as principal constituent H01L2224/81463the principal constituent melting at a temperature of greater than 1550°C H01L2224/81464Palladium [Pd] as principal constituent H01L2224/81466Titanium [Ti] as principal constituent H01L2224/81469Platinum [Pt] as principal constituent H01L2224/8147Zirconium [Zr] as principal constituent H01L2224/81471Chromium [Cr] as principal constituent H01L2224/81472Vanadium [V] as principal constituent H01L2224/81473Rhodium [Rh] as principal constituent H01L2224/81476Ruthenium [Ru] as principal constituent H01L2224/81478Iridium [Ir] as principal constituent H01L2224/81479Niobium [Nb] as principal constituent H01L2224/8148Molybdenum [Mo] as principal constituent H01L2224/81481Tantalum [Ta] as principal constituent H01L2224/81483Rhenium [Re] as principal constituent H01L2224/81484Tungsten [W] as principal constituent H01L2224/81486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/81487Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/81488 H01L2224/81488Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8149with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/81491The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/81493with a principal constituent of the material being a solid not provided for in groups H01L2224/814 - H01L2224/81491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/81494with a principal constituent of the material being a liquid not provided for in groups H01L2224/814 - H01L2224/81491 H01L2224/81495with a principal constituent of the material being a gas not provided for in groups H01L2224/814 - H01L2224/81491 H01L2224/81498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/81499Material of the matrix H01L2224/815with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/81501the principal constituent melting at a temperature of less than 400°C H01L2224/81505Gallium [Ga] as principal constituent H01L2224/81509Indium [In] as principal constituent H01L2224/81511Tin [Sn] as principal constituent H01L2224/81513Bismuth [Bi] as principal constituent H01L2224/81514Thallium [Tl] as principal constituent H01L2224/81516Lead [Pb] as principal constituent H01L2224/81517the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/81518Zinc [Zn] as principal constituent H01L2224/8152Antimony [Sb] as principal constituent H01L2224/81523Magnesium [Mg] as principal constituent H01L2224/81524Aluminium [Al] as principal constituent H01L2224/81538the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/81539Silver [Ag] as principal constituent H01L2224/81544Gold [Au] as principal constituent H01L2224/81547Copper [Cu] as principal constituent H01L2224/81549Manganese [Mn] as principal constituent H01L2224/81555Nickel [Ni] as principal constituent H01L2224/81557Cobalt [Co] as principal constituent H01L2224/8156Iron [Fe] as principal constituent H01L2224/81563the principal constituent melting at a temperature of greater than 1550°C H01L2224/81564Palladium [Pd] as principal constituent H01L2224/81566Titanium [Ti] as principal constituent H01L2224/81569Platinum [Pt] as principal constituent H01L2224/8157Zirconium [Zr] as principal constituent H01L2224/81571Chromium [Cr] as principal constituent H01L2224/81572Vanadium [V] as principal constituent H01L2224/81573Rhodium [Rh] as principal constituent H01L2224/81576Ruthenium [Ru] as principal constituent H01L2224/81578Iridium [Ir] as principal constituent H01L2224/81579Niobium [Nb] as principal constituent H01L2224/8158Molybdenum [Mo] as principal constituent H01L2224/81581Tantalum [Ta] as principal constituent H01L2224/81583Rhenium [Re] as principal constituent H01L2224/81584Tungsten [W] as principal constituent H01L2224/81586with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/81587Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/81588 H01L2224/81588Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8159with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/81591The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/81593with a principal constituent of the material being a solid not provided for in groups H01L2224/815 - H01L2224/81591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/81594with a principal constituent of the material being a liquid not provided for in groups H01L2224/815 - H01L2224/81591 H01L2224/81595with a principal constituent of the material being a gas not provided for in groups H01L2224/815 - H01L2224/81591 H01L2224/81598Fillers H01L2224/81599Base material H01L2224/816with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/81601the principal constituent melting at a temperature of less than 400°C H01L2224/81605Gallium [Ga] as principal constituent H01L2224/81609Indium [In] as principal constituent H01L2224/81611Tin [Sn] as principal constituent H01L2224/81613Bismuth [Bi] as principal constituent H01L2224/81614Thallium [Tl] as principal constituent H01L2224/81616Lead [Pb] as principal constituent H01L2224/81617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/81618Zinc [Zn] as principal constituent H01L2224/8162Antimony [Sb] as principal constituent H01L2224/81623Magnesium [Mg] as principal constituent H01L2224/81624Aluminium [Al] as principal constituent H01L2224/81638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/81639Silver [Ag] as principal constituent H01L2224/81644Gold [Au] as principal constituent H01L2224/81647Copper [Cu] as principal constituent H01L2224/81649Manganese [Mn] as principal constituent H01L2224/81655Nickel [Ni] as principal constituent H01L2224/81657Cobalt [Co] as principal constituent H01L2224/8166Iron [Fe] as principal constituent H01L2224/81663the principal constituent melting at a temperature of greater than 1550°C H01L2224/81664Palladium [Pd] as principal constituent H01L2224/81666Titanium [Ti] as principal constituent H01L2224/81669Platinum [Pt] as principal constituent H01L2224/8167Zirconium [Zr] as principal constituent H01L2224/81671Chromium [Cr] as principal constituent H01L2224/81672Vanadium [V] as principal constituent H01L2224/81673Rhodium [Rh] as principal constituent H01L2224/81676Ruthenium [Ru] as principal constituent H01L2224/81678Iridium [Ir] as principal constituent H01L2224/81679Niobium [Nb] as principal constituent H01L2224/8168Molybdenum [Mo] as principal constituent H01L2224/81681Tantalum [Ta] as principal constituent H01L2224/81683Rhenium [Re] as principal constituent H01L2224/81684Tungsten [W] as principal constituent H01L2224/81686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/81687Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/81688 H01L2224/81688Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8169with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/81691The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/81693with a principal constituent of the material being a solid not provided for in groups H01L2224/816 - H01L2224/81691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/81694with a principal constituent of the material being a liquid not provided for in groups H01L2224/816 - H01L2224/81691 H01L2224/81695with a principal constituent of the material being a gas not provided for in groups H01L2224/816 - H01L2224/81691 H01L2224/81698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/81699Coating material H01L2224/817with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/81701the principal constituent melting at a temperature of less than 400°C H01L2224/81705Gallium [Ga] as principal constituent H01L2224/81709Indium [In] as principal constituent H01L2224/81711Tin [Sn] as principal constituent H01L2224/81713Bismuth [Bi] as principal constituent H01L2224/81714Thallium [Tl] as principal constituent H01L2224/81716Lead [Pb] as principal constituent H01L2224/81717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/81718Zinc [Zn] as principal constituent H01L2224/8172Antimony [Sb] as principal constituent H01L2224/81723Magnesium [Mg] as principal constituent H01L2224/81724Aluminium [Al] as principal constituent H01L2224/81738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/81739Silver [Ag] as principal constituent H01L2224/81744Gold [Au] as principal constituent H01L2224/81747Copper [Cu] as principal constituent H01L2224/81749Manganese [Mn] as principal constituent H01L2224/81755Nickel [Ni] as principal constituent H01L2224/81757Cobalt [Co] as principal constituent H01L2224/8176Iron [Fe] as principal constituent H01L2224/81763the principal constituent melting at a temperature of greater than 1550°C H01L2224/81764Palladium [Pd] as principal constituent H01L2224/81766Titanium [Ti] as principal constituent H01L2224/81769Platinum [Pt] as principal constituent H01L2224/8177Zirconium [Zr] as principal constituent H01L2224/81771Chromium [Cr] as principal constituent H01L2224/81772Vanadium [V] as principal constituent H01L2224/81773Rhodium [Rh] as principal constituent H01L2224/81776Ruthenium [Ru] as principal constituent H01L2224/81778Iridium [Ir] as principal constituent H01L2224/81779Niobium [Nb] as principal constituent H01L2224/8178Molybdenum [Mo] as principal constituent H01L2224/81781Tantalum [Ta] as principal constituent H01L2224/81783Rhenium [Re] as principal constituent H01L2224/81784Tungsten [W] as principal constituent H01L2224/81786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/81787Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/81788 H01L2224/81788Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8179with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/81791The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/81793with a principal constituent of the material being a solid not provided for in groups H01L2224/817 - H01L2224/81791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/81794with a principal constituent of the material being a liquid not provided for in groups H01L2224/817 - H01L2224/81791 H01L2224/81795with a principal constituent of the material being a gas not provided for in groups H01L2224/817 - H01L2224/81791 H01L2224/81798with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/81799Shape or distribution of the fillers H01L2224/818Bonding techniques H01L2224/81801Soldering or alloying H01L2224/81805involving forming a eutectic alloy at the bonding interface H01L2224/8181involving forming an intermetallic compound at the bonding interface H01L2224/81815Reflow soldering H01L2224/8182Diffusion bonding H01L2224/81825Solid-liquid interdiffusion H01L2224/8183Solid-solid interdiffusion H01L2224/8184Sintering H01L2224/8185using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester H01L2224/81855Hardening the adhesive by curing, i.e. thermosetting H01L2224/81856Pre-cured adhesive, i.e. B-stage adhesive H01L2224/81859Localised curing of parts of the bump connector H01L2224/81862Heat curing H01L2224/81865Microwave curing H01L2224/81868Infrared [IR] curing H01L2224/81871Visible light curing H01L2224/81874Ultraviolet [UV] curing H01L2224/81877Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes H01L2224/8188Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives H01L2224/81885Combinations of two or more hardening methods provided for in at least two different groups from H01L2224/81855 - H01L2224/8188, e.g. for hybrid thermoplastic-thermosetting adhesives H01L2224/8189using an inorganic non metallic glass type adhesive, e.g. solder glass H01L2224/81893Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces H01L2224/81895between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding H01L2224/81896between electrically insulating surfaces, e.g. oxide or nitride layers H01L2224/81897Mechanical interlocking, e.g. anchoring, hook and loop-type fastening or the like H01L2224/81898Press-fitting, i.e. pushing the parts together and fastening by friction, e.g. by compression of one part against the other H01L2224/81899using resilient parts in the bump connector or in the bonding area H01L2224/819with the bump connector not providing any mechanical bonding H01L2224/81901Pressing the bump connector against the bonding areas by means of another connector detachable pressure contact H01L2224/72 H01L2224/81902by means of another bump connector H01L2224/81903by means of a layer connector H01L2224/81904by means of an encapsulation layer or foil H01L2224/81905Combinations of bonding methods provided for in at least two different groups from H01L2224/818 - H01L2224/81904 H01L2224/81906Specific sequence of method steps H01L2224/81907Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step H01L2224/81908involving monitoring, e.g. feedback loop H01L2224/81909Post-treatment of the bump connector or bonding area H01L2224/8191Cleaning, e.g. oxide removal step, desmearing H01L2224/81911Chemical cleaning, e.g. etching, flux H01L2224/81912Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow H01L2224/81913Plasma cleaning H01L2224/81914Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge H01L2224/81919Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8191 - H01L2224/81914 H01L2224/8192Applying permanent coating, e.g. protective coating H01L2224/8193Reshaping H01L2224/81931by chemical means, e.g. etching H01L2224/81935by heating means, e.g. reflowing H01L2224/81937using a polychromatic heating lamp H01L2224/81939using a laser H01L2224/81941Induction heating, i.e. eddy currents H01L2224/81943using a flame torch, e.g. hydrogen torch H01L2224/81945using a corona discharge, e.g. electronic flame off [EFO] H01L2224/81947by mechanical means, e.g. "pull-and-cut", pressing, stamping H01L2224/81948Thermal treatments, e.g. annealing, controlled cooling H01L2224/81951Forming additional members, e.g. for reinforcing H01L2224/81986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence H01L2224/82by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI] H01L2224/82001involving a temporary auxiliary member not forming part of the bonding apparatus H01L2224/82002being a removable or sacrificial coating H01L2224/82005being a temporary or sacrificial substrate H01L2224/82007involving a permanent auxiliary member being left in the finished device, e.g. aids for holding or protecting a build-up interconnect during or after the bonding process H01L2224/82009Pre-treatment of the connector or the bonding area H01L2224/8201Cleaning, e.g. oxide removal step, desmearing H01L2224/8203Reshaping, e.g. forming vias H01L2224/82031by chemical means, e.g. etching, anodisation H01L2224/82035by heating means H01L2224/82039using a laser H01L2224/82045using a corona discharge, e.g. electronic flame off [EFO] H01L2224/82047by mechanical means, e.g. severing, pressing, stamping H01L2224/82048Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling H01L2224/82051Forming additional members H01L2224/82053Bonding environment H01L2224/82054Composition of the atmosphere H01L2224/82085being a liquid, e.g. for fluidic self-assembly H01L2224/8209Vacuum H01L2224/82091Under pressure H01L2224/82095Temperature settings H01L2224/82096Transient conditions H01L2224/82097Heating H01L2224/82098Cooling H01L2224/82099Ambient temperature H01L2224/821Forming a build-up interconnect H01L2224/82101by additive methods, e.g. direct writing H01L2224/82102using jetting, e.g. ink jet H01L2224/82103using laser direct writing H01L2224/82104using screen printing H01L2224/82105by using a preform H01L2224/82106by subtractive methods H01L2224/82108by self-assembly processes H01L2224/8211involving protection against electrical discharge, e.g. removing electrostatic charge H01L2224/8212Aligning H01L2224/82121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors H01L2224/82122by detecting inherent features of, or outside, the semiconductor or solid-state body H01L2224/8213using marks formed on the semiconductor or solid-state body H01L2224/82132using marks formed outside the semiconductor or solid-state body, i.e. "off-chip" H01L2224/82136involving guiding structures, e.g. spacers or supporting members H01L2224/82138the guiding structures being at least partially left in the finished device H01L2224/82143Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium H01L2224/82148involving movement of a part of the bonding apparatus H01L2224/82149being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table H01L2224/8215Rotational movements H01L2224/8216Translational movements H01L2224/82169being the upper part of the bonding apparatus, e.g. nozzle H01L2224/8217Rotational movement H01L2224/8218Translational movements H01L2224/82181connecting first on the semiconductor or solid-state body, i.e. on-chip, H01L2224/82186connecting first outside the semiconductor or solid-state body, i.e. off-chip H01L2224/82191connecting first both on and outside the semiconductor or solid-state body H01L2224/822Applying energy for connecting H01L2224/82201Compression bonding H01L2224/82203Thermocompression bonding H01L2224/82205Ultrasonic bonding H01L2224/82207Thermosonic bonding H01L2224/8221with energy being in the form of electromagnetic radiation H01L2224/82212Induction heating, i.e. eddy currents H01L2224/82214using a laser H01L2224/8223Polychromatic or infrared lamp heating H01L2224/82232using an autocatalytic reaction, e.g. exothermic brazing H01L2224/82234using means for applying energy being within the device, e.g. integrated heater H01L2224/82236using electro-static corona discharge H01L2224/82237using electron beam, electron beam in general B23K15/00 H01L2224/82238using electric resistance welding, i.e. ohmic heating H01L2224/8234Bonding interfaces of the connector H01L2224/82345Shape, e.g. interlocking features H01L2224/82355having an external coating, e.g. protective bond-through coating H01L2224/82359Material H01L2224/8236Bonding interfaces of the semiconductor or solid state body H01L2224/82365Shape, e.g. interlocking features H01L2224/82375having an external coating, e.g. protective bond-through coating H01L2224/82379Material H01L2224/8238Bonding interfaces outside the semiconductor or solid-state body H01L2224/82385Shape, e.g. interlocking features H01L2224/82395having an external coating, e.g. protective bond-through coating H01L2224/82399Material H01L2224/828Bonding techniques H01L2224/82801Soldering or alloying H01L2224/82805involving forming a eutectic alloy at the bonding interface H01L2224/8281involving forming an intermetallic compound at the bonding interface H01L2224/82815Reflow soldering H01L2224/8282Diffusion bonding H01L2224/82825Solid-liquid interdiffusion H01L2224/8283Solid-solid interdiffusion H01L2224/8284Sintering H01L2224/8285using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester H01L2224/82855Hardening the adhesive by curing, i.e. thermosetting H01L2224/82856Pre-cured adhesive, i.e. B-stage adhesive H01L2224/82859Localised curing of parts of the connector H01L2224/82862Heat curing H01L2224/82865Microwave curing H01L2224/82868Infrared [IR] curing H01L2224/82871Visible light curing H01L2224/82874Ultraviolet [UV] curing H01L2224/82877Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes H01L2224/8288Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives H01L2224/82885Combinations of two or more hardening methods provided for in at least two different groups from H01L2224/82855 - H01L2224/8288, e.g. for hybrid thermoplastic-thermosetting adhesives H01L2224/8289using an inorganic non metallic glass type adhesive, e.g. solder glass H01L2224/82893Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond H01L2224/82895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces H01L2224/82896between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding H01L2224/82897between electrically insulating surfaces, e.g. oxide or nitride layers H01L2224/82899Combinations of bonding methods provided for in at least two different groups from H01L2224/828 - H01L2224/82897 H01L2224/829involving monitoring, e.g. feedback loop H01L2224/82909Post-treatment of the connector or the bonding area H01L2224/8291Cleaning, e.g. oxide removal step, desmearing H01L2224/8293Reshaping H01L2224/82931by chemical means, e.g. etching, anodisation H01L2224/82935by heating means H01L2224/82939using a laser H01L2224/82945using a corona discharge, e.g. electronic flame off [EFO] H01L2224/82947by mechanical means, e.g. severing, pressing, stamping H01L2224/82948Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling H01L2224/82951Forming additional members H01L2224/82986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence H01L2224/83using a layer connector H01L2224/83001involving a temporary auxiliary member not forming part of the bonding apparatus H01L2224/83002being a removable or sacrificial coating H01L2224/83005being a temporary or sacrificial substrate H01L2224/83007involving a permanent auxiliary member being left in the finished device, e.g. aids for holding or protecting the layer connector during or after the bonding process H01L2224/83009Pre-treatment of the layer connector or the bonding area H01L2224/8301Cleaning the layer connector, e.g. oxide removal step, desmearing H01L2224/83011Chemical cleaning, e.g. etching, flux H01L2224/83012Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow H01L2224/83013Plasma cleaning H01L2224/83014Thermal cleaning, e.g. decomposition, sublimation H01L2224/83019Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8301 - H01L2224/83014 H01L2224/8302Applying permanent coating to the layer connector in the bonding apparatus, e.g. in-situ coating H01L2224/83022Cleaning the bonding area, e.g. oxide removal step, desmearing H01L2224/83024Applying flux to the bonding area H01L2224/83026Applying a precursor material to the bonding area H01L2224/8303Reshaping the layer connector in the bonding apparatus, e.g. flattening the layer connector H01L2224/83031by chemical means, e.g. etching, anodisation H01L2224/83035by heating means H01L2224/83037using a polychromatic heating lamp H01L2224/83039using a laser H01L2224/83041Induction heating, i.e. eddy currents H01L2224/83047by mechanical means, e.g. severing, pressing, stamping H01L2224/83048Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling H01L2224/83051Forming additional members, e.g. dam structures H01L2224/83052Detaching layer connectors, e.g. after testing unsoldering in general B23K1/018 H01L2224/83053Bonding environment H01L2224/83054Composition of the atmosphere H01L2224/83055being oxidating H01L2224/83065being reducing H01L2224/83075being inert H01L2224/83085being a liquid, e.g. for fluidic self-assembly H01L2224/8309Vacuum H01L2224/83091Under pressure H01L2224/83092Atmospheric pressure H01L2224/83093Transient conditions, e.g. gas-flow H01L2224/83095Temperature settings H01L2224/83096Transient conditions H01L2224/83097Heating H01L2224/83098Cooling H01L2224/83099Ambient temperature H01L2224/831the layer connector being supplied to the parts to be connected in the bonding apparatus H01L2224/83101as prepeg comprising a layer connector, e.g. provided in an insulating plate member H01L2224/83102using surface energy, e.g. capillary forces H01L2224/83104by applying pressure, e.g. by injection H01L2224/8311involving protection against electrical discharge, e.g. removing electrostatic charge H01L2224/8312Aligning H01L2224/83121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors H01L2224/83122by detecting inherent features of, or outside, the semiconductor or solid-state body H01L2224/83123Shape or position of the body H01L2224/83125Bonding areas on the body H01L2224/83127Bonding areas outside the body H01L2224/83129Shape or position of the other item H01L2224/8313using marks formed on the semiconductor or solid-state body H01L2224/83132using marks formed outside the semiconductor or solid-state body, i.e. "off-chip" H01L2224/83136involving guiding structures, e.g. spacers or supporting members H01L2224/83138the guiding structures being at least partially left in the finished device H01L2224/83139Guiding structures on the body H01L2224/8314Guiding structures outside the body H01L2224/83141Guiding structures both on and outside the body H01L2224/83143Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium H01L2224/83148involving movement of a part of the bonding apparatus H01L2224/83149being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table H01L2224/8315Rotational movements H01L2224/8316Translational movements H01L2224/83169being the upper part of the bonding apparatus, i.e. bonding head H01L2224/8317Rotational movements H01L2224/8318Translational movements H01L2224/8319Arrangement of the layer connectors prior to mounting H01L2224/83191wherein the layer connectors are disposed only on the semiconductor or solid-state body H01L2224/83192wherein the layer connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body H01L2224/83193wherein the layer connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body H01L2224/83194Lateral distribution of the layer connectors H01L2224/832Applying energy for connecting H01L2224/83201Compression bonding H01L2224/83203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding H01L2224/83204with a graded temperature profile H01L2224/83205Ultrasonic bonding H01L2224/83206Direction of oscillation H01L2224/83207Thermosonic bonding H01L2224/83208applying unidirectional static pressure H01L2224/83209applying isostatic pressure, e.g. degassing using vacuum or a pressurised liquid H01L2224/8321using a reflow oven H01L2224/83211with a graded temperature profile H01L2224/8322with energy being in the form of electromagnetic radiation H01L2224/83222Induction heating, i.e. eddy currents H01L2224/83224using a laser H01L2224/8323Polychromatic or infrared lamp heating H01L2224/83232using an autocatalytic reaction, e.g. exothermic brazing H01L2224/83234using means for applying energy being within the device, e.g. integrated heater H01L2224/83236using electro-static corona discharge H01L2224/83237using an electron beam electron beam welding in general B23K15/00 H01L2224/83238using electric resistance welding, i.e. ohmic heating H01L2224/8334Bonding interfaces of the layer connector H01L2224/83345Shape, e.g. interlocking features H01L2224/83355having an external coating, e.g. protective bond-through coating H01L2224/83359Material H01L2224/8336Bonding interfaces of the semiconductor or solid state body H01L2224/83365Shape, e.g. interlocking features H01L2224/83375having an external coating, e.g. protective bond-through coating H01L2224/83379Material material of the layer connector prior to the connecting process H01L2224/29099 and H01L2224/29599, and subgroups H01L2224/8338Bonding interfaces outside the semiconductor or solid-state body H01L2224/83385Shape, e.g. interlocking features H01L2224/83395having an external coating, e.g. protective bond-through coating H01L2224/83399Material H01L2224/834with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/83401the principal constituent melting at a temperature of less than 400°C H01L2224/83405Gallium [Ga] as principal constituent H01L2224/83409Indium [In] as principal constituent H01L2224/83411Tin [Sn] as principal constituent H01L2224/83413Bismuth [Bi] as principal constituent H01L2224/83414Thallium [Tl] as principal constituent H01L2224/83416Lead [Pb] as principal constituent H01L2224/83417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/83418Zinc [Zn] as principal constituent H01L2224/8342Antimony [Sb] as principal constituent H01L2224/83423Magnesium [Mg] as principal constituent H01L2224/83424Aluminium [Al] as principal constituent H01L2224/83438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/83439Silver [Ag] as principal constituent H01L2224/83444Gold [Au] as principal constituent H01L2224/83447Copper [Cu] as principal constituent H01L2224/83449Manganese [Mn] as principal constituent H01L2224/83455Nickel [Ni] as principal constituent H01L2224/83457Cobalt [Co] as principal constituent H01L2224/8346Iron [Fe] as principal constituent H01L2224/83463the principal constituent melting at a temperature of greater than 1550°C H01L2224/83464Palladium [Pd] as principal constituent H01L2224/83466Titanium [Ti] as principal constituent H01L2224/83469Platinum [Pt] as principal constituent H01L2224/8347Zirconium [Zr] as principal constituent H01L2224/83471Chromium [Cr] as principal constituent H01L2224/83472Vanadium [V] as principal constituent H01L2224/83473Rhodium [Rh] as principal constituent H01L2224/83476Ruthenium [Ru] as principal constituent H01L2224/83478Iridium [Ir] as principal constituent H01L2224/83479Niobium [Nb] as principal constituent H01L2224/8348Molybdenum [Mo] as principal constituent H01L2224/83481Tantalum [Ta] as principal constituent H01L2224/83483Rhenium [Re] as principal constituent H01L2224/83484Tungsten [W] as principal constituent H01L2224/83486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/83487Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/83488 H01L2224/83488Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8349with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/83491The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/83493with a principal constituent of the material being a solid not provided for in groups H01L2224/834 - H01L2224/83491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/83494with a principal constituent of the material being a liquid not provided for in groups H01L2224/834 - H01L2224/83491 H01L2224/83495with a principal constituent of the material being a gas not provided for in groups H01L2224/834 - H01L2224/83491 H01L2224/83498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/83499Material of the matrix H01L2224/835with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/83501the principal constituent melting at a temperature of less than 400°C H01L2224/83505Gallium [Ga] as principal constituent H01L2224/83509Indium [In] as principal constituent H01L2224/83511Tin [Sn] as principal constituent H01L2224/83513Bismuth [Bi] as principal constituent H01L2224/83514Thallium [Tl] as principal constituent H01L2224/83516Lead [Pb] as principal constituent H01L2224/83517the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/83518Zinc [Zn] as principal constituent H01L2224/8352Antimony [Sb] as principal constituent H01L2224/83523Magnesium [Mg] as principal constituent H01L2224/83524Aluminium [Al] as principal constituent H01L2224/83538the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/83539Silver [Ag] as principal constituent H01L2224/83544Gold [Au] as principal constituent H01L2224/83547Copper [Cu] as principal constituent H01L2224/83549Manganese [Mn] as principal constituent H01L2224/83555Nickel [Ni] as principal constituent H01L2224/83557Cobalt [Co] as principal constituent H01L2224/8356Iron [Fe] as principal constituent H01L2224/83563the principal constituent melting at a temperature of greater than 1550°C H01L2224/83564Palladium [Pd] as principal constituent H01L2224/83566Titanium [Ti] as principal constituent H01L2224/83569Platinum [Pt] as principal constituent H01L2224/8357Zirconium [Zr] as principal constituent H01L2224/83571Chromium [Cr] as principal constituent H01L2224/83572Vanadium [V] as principal constituent H01L2224/83573Rhodium [Rh] as principal constituent H01L2224/83576Ruthenium [Ru] as principal constituent H01L2224/83578Iridium [Ir] as principal constituent H01L2224/83579Niobium [Nb] as principal constituent H01L2224/8358Molybdenum [Mo] as principal constituent H01L2224/83581Tantalum [Ta] as principal constituent H01L2224/83583Rhenium [Re] as principal constituent H01L2224/83584Tungsten [W] as principal constituent H01L2224/83586with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/83587Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/83588 H01L2224/83588Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8359with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/83591The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/83593with a principal constituent of the material being a solid not provided for in groups H01L2224/835 - H01L2224/83591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/83594with a principal constituent of the material being a liquid not provided for in groups H01L2224/835 - H01L2224/83591 H01L2224/83595with a principal constituent of the material being a gas not provided for in groups H01L2224/835 - H01L2224/83591 H01L2224/83598Fillers H01L2224/83599Base material H01L2224/836with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/83601the principal constituent melting at a temperature of less than 400°C H01L2224/83605Gallium [Ga] as principal constituent H01L2224/83609Indium [In] as principal constituent H01L2224/83611Tin [Sn] as principal constituent H01L2224/83613Bismuth [Bi] as principal constituent H01L2224/83614Thallium [Tl] as principal constituent H01L2224/83616Lead [Pb] as principal constituent H01L2224/83617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/83618Zinc [Zn] as principal constituent H01L2224/8362Antimony [Sb] as principal constituent H01L2224/83623Magnesium [Mg] as principal constituent H01L2224/83624Aluminium [Al] as principal constituent H01L2224/83638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/83639Silver [Ag] as principal constituent H01L2224/83644Gold [Au] as principal constituent H01L2224/83647Copper [Cu] as principal constituent H01L2224/83649Manganese [Mn] as principal constituent H01L2224/83655Nickel [Ni] as principal constituent H01L2224/83657Cobalt [Co] as principal constituent H01L2224/8366Iron [Fe] as principal constituent H01L2224/83663the principal constituent melting at a temperature of greater than 1550°C H01L2224/83664Palladium [Pd] as principal constituent H01L2224/83666Titanium [Ti] as principal constituent H01L2224/83669Platinum [Pt] as principal constituent H01L2224/8367Zirconium [Zr] as principal constituent H01L2224/83671Chromium [Cr] as principal constituent H01L2224/83672Vanadium [V] as principal constituent H01L2224/83673Rhodium [Rh] as principal constituent H01L2224/83676Ruthenium [Ru] as principal constituent H01L2224/83678Iridium [Ir] as principal constituent H01L2224/83679Niobium [Nb] as principal constituent H01L2224/8368Molybdenum [Mo] as principal constituent H01L2224/83681Tantalum [Ta] as principal constituent H01L2224/83683Rhenium [Re] as principal constituent H01L2224/83684Tungsten [W] as principal constituent H01L2224/83686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/83687Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/83688 H01L2224/83688Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8369with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/83691The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/83693with a principal constituent of the material being a solid not provided for in groups H01L2224/836 - H01L2224/83691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/83694with a principal constituent of the material being a liquid not provided for in groups H01L2224/836 - H01L2224/83691 H01L2224/83695with a principal constituent of the material being a gas not provided for in groups H01L2224/836 - H01L2224/83691 H01L2224/83698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/83699Coating material H01L2224/837with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/83701the principal constituent melting at a temperature of less than 400°C H01L2224/83705Gallium [Ga] as principal constituent H01L2224/83709Indium [In] as principal constituent H01L2224/83711Tin [Sn] as principal constituent H01L2224/83713Bismuth [Bi] as principal constituent H01L2224/83714Thallium [Tl] as principal constituent H01L2224/83716Lead [Pb] as principal constituent H01L2224/83717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/83718Zinc [Zn] as principal constituent H01L2224/8372Antimony [Sb] as principal constituent H01L2224/83723Magnesium [Mg] as principal constituent H01L2224/83724Aluminium [Al] as principal constituent H01L2224/83738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/83739Silver [Ag] as principal constituent H01L2224/83744Gold [Au] as principal constituent H01L2224/83747Copper [Cu] as principal constituent H01L2224/83749Manganese [Mn] as principal constituent H01L2224/83755Nickel [Ni] as principal constituent H01L2224/83757Cobalt [Co] as principal constituent H01L2224/8376Iron [Fe] as principal constituent H01L2224/83763the principal constituent melting at a temperature of greater than 1550°C H01L2224/83764Palladium [Pd] as principal constituent H01L2224/83766Titanium [Ti] as principal constituent H01L2224/83769Platinum [Pt] as principal constituent H01L2224/8377Zirconium [Zr] as principal constituent H01L2224/83771Chromium [Cr] as principal constituent H01L2224/83772Vanadium [V] as principal constituent H01L2224/83773Rhodium [Rh] as principal constituent H01L2224/83776Ruthenium [Ru] as principal constituent H01L2224/83778Iridium [Ir] as principal constituent H01L2224/83779Niobium [Nb] as principal constituent H01L2224/8378Molybdenum [Mo] as principal constituent H01L2224/83781Tantalum [Ta] as principal constituent H01L2224/83783Rhenium [Re] as principal constituent H01L2224/83784Tungsten [W] as principal constituent H01L2224/83786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/83787Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/83788 H01L2224/83788Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8379with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/83791The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/83793with a principal constituent of the material being a solid not provided for in groups H01L2224/837 - H01L2224/83791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/83794with a principal constituent of the material being a liquid not provided for in groups H01L2224/837 - H01L2224/83791 H01L2224/83795with a principal constituent of the material being a gas not provided for in groups H01L2224/837 - H01L2224/83791 H01L2224/83798with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/83799Shape or distribution of the fillers H01L2224/838Bonding techniques H01L2224/83801Soldering or alloying H01L2224/83805involving forming a eutectic alloy at the bonding interface H01L2224/8381involving forming an intermetallic compound at the bonding interface H01L2224/83815Reflow soldering H01L2224/8382Diffusion bonding H01L2224/83825Solid-liquid interdiffusion H01L2224/8383Solid-solid interdiffusion H01L2224/8384Sintering H01L2224/8385using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester H01L2224/83851being an anisotropic conductive adhesive H01L2224/83855Hardening the adhesive by curing, i.e. thermosetting H01L2224/83856Pre-cured adhesive, i.e. B-stage adhesive H01L2224/83859Localised curing of parts of the layer connector H01L2224/83862Heat curing H01L2224/83865Microwave curing H01L2224/83868Infrared [IR] curing H01L2224/83871Visible light curing H01L2224/83874Ultraviolet [UV] curing H01L2224/83877Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes H01L2224/8388Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives H01L2224/83885Combinations of two or more hardening methods provided for in at least two different groups from H01L2224/83855 - H01L2224/8388, e.g. for hybrid thermoplastic-thermosetting adhesives H01L2224/83886Involving a self-assembly process, e.g. self-agglomeration of a material dispersed in a fluid H01L2224/83887Auxiliary means therefor, e.g. for self-assembly activation H01L2224/83888with special adaptation of the surface of the body to be connected, e.g. surface shape specially adapted for the self-assembly process H01L2224/83889involving the material of the bonding area, e.g. bonding pad H01L2224/8389using an inorganic non metallic glass type adhesive, e.g. solder glass H01L2224/83893Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces H01L2224/83895between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding H01L2224/83896between electrically insulating surfaces, e.g. oxide or nitride layers H01L2224/83897Mechanical interlocking, e.g. anchoring, hook and loop-type fastening or the like H01L2224/83898Press-fitting, i.e. pushing the parts together and fastening by friction, e.g. by compression of one part against the other H01L2224/83899using resilient parts in the layer connector or in the bonding area H01L2224/839with the layer connector not providing any mechanical bonding H01L2224/83901Pressing the layer connector against the bonding areas by means of another connector H01L2224/83902by means of another layer connector H01L2224/83903by means of a bump connector H01L2224/83904by means of an encapsulation layer or foil H01L2224/83905Combinations of bonding methods provided for in at least two different groups from H01L2224/838 - H01L2224/83904 H01L2224/83906Specific sequence of method steps H01L2224/83907Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step H01L2224/83908involving monitoring, e.g. feedback loop H01L2224/83909Post-treatment of the layer connector or bonding area H01L2224/8391Cleaning, e.g. oxide removal step, desmearing H01L2224/83911Chemical cleaning, e.g. etching, flux H01L2224/83912Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow H01L2224/83913Plasma cleaning H01L2224/83914Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge H01L2224/83919Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8391 - H01L2224/83914 H01L2224/8392Applying permanent coating, e.g. protective coating H01L2224/8393Reshaping H01L2224/83931by chemical means, e.g. etching H01L2224/83935by heating means, e.g. reflowing H01L2224/83937using a polychromatic heating lamp H01L2224/83939using a laser H01L2224/83941Induction heating, i.e. eddy currents H01L2224/83943using a flame torch, e.g. hydrogen torch H01L2224/83945using a corona discharge, e.g. electronic flame off [EFO] H01L2224/83947by mechanical means, e.g. "pull-and-cut", pressing, stamping H01L2224/83948Thermal treatments, e.g. annealing, controlled cooling H01L2224/83951Forming additional members, e.g. for reinforcing, fillet sealant H01L2224/83986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence H01L2224/84using a strap connector H01L2224/84001involving a temporary auxiliary member not forming part of the bonding apparatus H01L2224/84002being a removable or sacrificial coating H01L2224/84005being a temporary substrate H01L2224/84007involving a permanent auxiliary member being left in the finished device, e.g. aids for holding or protecting the strap connector during or after the bonding process H01L2224/84009Pre-treatment of the connector and/or the bonding area H01L2224/8401Cleaning, e.g. oxide removal step, desmearing H01L2224/84011Chemical cleaning, e.g. etching, flux H01L2224/84012Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow H01L2224/84013Plasma cleaning H01L2224/84014Thermal cleaning, e.g. decomposition, sublimation H01L2224/84019Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8401 - H01L2224/84014 H01L2224/8402Applying permanent coating, e.g. in-situ coating H01L2224/8403Reshaping H01L2224/84031by chemical means, e.g. etching, anodisation H01L2224/84035by heating means, e.g. "free-air-ball" H01L2224/84037using a polychromatic heating lamp H01L2224/84039using a laser H01L2224/84041Induction heating, i.e. eddy currents H01L2224/84043using a flame torch, e.g. hydrogen torch H01L2224/84045using a corona discharge, e.g. electronic flame off [EFO] H01L2224/84047by mechanical means, e.g. severing, pressing, stamping H01L2224/84048Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling H01L2224/84051Forming additional members H01L2224/84053Bonding environment H01L2224/84054Composition of the atmosphere H01L2224/84055being oxidating H01L2224/84065being reducing H01L2224/84075being inert H01L2224/84085being a liquid (e.g. for fluidic self-assembly) H01L2224/8409Vacuum H01L2224/84091Under pressure H01L2224/84092Atmospheric pressure H01L2224/84093Transient conditions, e.g. gas-flow H01L2224/84095Temperature settings H01L2224/84096Transient conditions H01L2224/84097Heating H01L2224/84098Cooling H01L2224/84099Ambient temperature H01L2224/841the connector being supplied to the parts to be connected in the bonding apparatus H01L2224/8411involving protection against electrical discharge, e.g. removing electrostatic charge H01L2224/8412Aligning H01L2224/84121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors H01L2224/84122by detecting inherent features of, or outside, the semiconductor or solid-state body H01L2224/84123Shape or position of the body H01L2224/84125Bonding areas on the body H01L2224/84127Bonding areas outside the body H01L2224/84129Shape or position of the other item H01L2224/8413using marks formed on the semiconductor or solid-state body H01L2224/84132using marks formed outside the semiconductor or solid-state body, i.e. "off-chip" H01L2224/84136involving guiding structures, e.g. spacers or supporting members H01L2224/84138the guiding structures being at least partially left in the finished device H01L2224/84143Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium H01L2224/84148involving movement of a part of the bonding apparatus H01L2224/84149being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table H01L2224/8415Rotational movements H01L2224/8416Translational movements H01L2224/84169being the upper part of the bonding apparatus, i.e. bonding head, H01L2224/8417Rotational movements H01L2224/8418Translational movements H01L2224/84181connecting first on the semiconductor or solid-state body, i.e. on-chip, regular stitch H01L2224/84186connecting first outside the semiconductor or solid-state body, i.e. off-chip, reverse stitch H01L2224/84191connecting first both on and outside the semiconductor or solid-state body, i.e. regular and reverse stitches H01L2224/84196involving intermediate connecting steps before cutting the strap connector H01L2224/842Applying energy for connecting H01L2224/84201Compression bonding H01L2224/84203Thermocompression bonding H01L2224/84205Ultrasonic bonding H01L2224/84206Direction of oscillation H01L2224/84207Thermosonic bonding H01L2224/8421with energy being in the form of electromagnetic radiation H01L2224/84212Induction heating, i.e. eddy currents H01L2224/84214using a laser H01L2224/8423Polychromatic or infrared lamp heating H01L2224/84232using an autocatalytic reaction, e.g. exothermic brazing H01L2224/84234using means for applying energy being within the device, e.g. integrated heater H01L2224/84236using electro-static corona discharge H01L2224/84237using an electron beam electron beam welding in general B23K15/00 H01L2224/84238using electric resistance welding, i.e. ohmic heating H01L2224/8434Bonding interfaces of the connector H01L2224/84345Shape, e.g. interlocking features H01L2224/84355having an external coating, e.g. protective bond-through coating H01L2224/84359Material H01L2224/8436Bonding interfaces of the semiconductor or solid state body H01L2224/84365Shape, e.g. interlocking features H01L2224/84375having an external coating, e.g. protective bond-through coating H01L2224/84379Material H01L2224/8438Bonding interfaces outside the semiconductor or solid-state body H01L2224/84385Shape, e.g. interlocking features H01L2224/84395having an external coating, e.g. protective bond-through coating H01L2224/84399Material H01L2224/844with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/84401the principal constituent melting at a temperature of less than 400°C H01L2224/84405Gallium [Ga] as principal constituent H01L2224/84409Indium [In] as principal constituent H01L2224/84411Tin [Sn] as principal constituent H01L2224/84413Bismuth [Bi] as principal constituent H01L2224/84414Thallium [Tl] as principal constituent H01L2224/84416Lead [Pb] as principal constituent H01L2224/84417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/84418Zinc [Zn] as principal constituent H01L2224/8442Antimony [Sb] as principal constituent H01L2224/84423Magnesium [Mg] as principal constituent H01L2224/84424Aluminium [Al] as principal constituent H01L2224/84438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/84439Silver [Ag] as principal constituent H01L2224/84444Gold [Au] as principal constituent H01L2224/84447Copper [Cu] as principal constituent H01L2224/84449Manganese [Mn] as principal constituent H01L2224/84455Nickel [Ni] as principal constituent H01L2224/84457Cobalt [Co] as principal constituent H01L2224/8446Iron [Fe] as principal constituent H01L2224/84463the principal constituent melting at a temperature of greater than 1550°C H01L2224/84464Palladium [Pd] as principal constituent H01L2224/84466Titanium [Ti] as principal constituent H01L2224/84469Platinum [Pt] as principal constituent H01L2224/8447Zirconium [Zr] as principal constituent H01L2224/84471Chromium [Cr] as principal constituent H01L2224/84472Vanadium [V] as principal constituent H01L2224/84473Rhodium [Rh] as principal constituent H01L2224/84476Ruthenium [Ru] as principal constituent H01L2224/84478Iridium [Ir] as principal constituent H01L2224/84479Niobium [Nb] as principal constituent H01L2224/8448Molybdenum [Mo] as principal constituent H01L2224/84481Tantalum [Ta] as principal constituent H01L2224/84483Rhenium [Re] as principal constituent H01L2224/84484Tungsten [W] as principal constituent H01L2224/84486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/84487Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/84488 H01L2224/84488Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8449with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/84491The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/84493with a principal constituent of the material being a solid not provided for in groups H01L2224/844 - H01L2224/84491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/84494with a principal constituent of the material being a liquid not provided for in groups H01L2224/844 - H01L2224/84491 H01L2224/84495with a principal constituent of the material being a gas not provided for in groups H01L2224/844 - H01L2224/84491 H01L2224/84498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/84499Material of the matrix H01L2224/845with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/84501the principal constituent melting at a temperature of less than 400°C H01L2224/84505Gallium [Ga] as principal constituent H01L2224/84509Indium [In] as principal constituent H01L2224/84511Tin [Sn] as principal constituent H01L2224/84513Bismuth [Bi] as principal constituent H01L2224/84514Thallium [Tl] as principal constituent H01L2224/84516Lead [Pb] as principal constituent H01L2224/84517the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/84518Zinc [Zn] as principal constituent H01L2224/8452Antimony [Sb] as principal constituent H01L2224/84523Magnesium [Mg] as principal constituent H01L2224/84524Aluminium [Al] as principal constituent H01L2224/84538the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/84539Silver [Ag] as principal constituent H01L2224/84544Gold [Au] as principal constituent H01L2224/84547Copper [Cu] as principal constituent H01L2224/84549Manganese [Mn] as principal constituent H01L2224/84555Nickel [Ni] as principal constituent H01L2224/84557Cobalt [Co] as principal constituent H01L2224/8456Iron [Fe] as principal constituent H01L2224/84563the principal constituent melting at a temperature of greater than 1550°C H01L2224/84564Palladium [Pd] as principal constituent H01L2224/84566Titanium [Ti] as principal constituent H01L2224/84569Platinum [Pt] as principal constituent H01L2224/8457Zirconium [Zr] as principal constituent H01L2224/84571Chromium [Cr] as principal constituent H01L2224/84572Vanadium [V] as principal constituent H01L2224/84573Rhodium [Rh] as principal constituent H01L2224/84576Ruthenium [Ru] as principal constituent H01L2224/84578Iridium [Ir] as principal constituent H01L2224/84579Niobium [Nb] as principal constituent H01L2224/8458Molybdenum [Mo] as principal constituent H01L2224/84581Tantalum [Ta] as principal constituent H01L2224/84583Rhenium [Re] as principal constituent H01L2224/84584Tungsten [W] as principal constituent H01L2224/84586with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/84587Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/84588 H01L2224/84588Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8459with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/84591The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/84593with a principal constituent of the material being a solid not provided for in groups H01L2224/845 - H01L2224/84591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/84594with a principal constituent of the material being a liquid not provided for in groups H01L2224/845 - H01L2224/84591 H01L2224/84595with a principal constituent of the material being a gas not provided for in groups H01L2224/845 - H01L2224/84591 H01L2224/84598Fillers H01L2224/84599Base material H01L2224/846with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/84601the principal constituent melting at a temperature of less than 400°C H01L2224/84605Gallium [Ga] as principal constituent H01L2224/84609Indium [In] as principal constituent H01L2224/84611Tin [Sn] as principal constituent H01L2224/84613Bismuth [Bi] as principal constituent H01L2224/84614Thallium [Tl] as principal constituent H01L2224/84616Lead [Pb] as principal constituent H01L2224/84617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/84618Zinc [Zn] as principal constituent H01L2224/8462Antimony [Sb] as principal constituent H01L2224/84623Magnesium [Mg] as principal constituent H01L2224/84624Aluminium [Al] as principal constituent H01L2224/84638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/84639Silver [Ag] as principal constituent H01L2224/84644Gold [Au] as principal constituent H01L2224/84647Copper [Cu] as principal constituent H01L2224/84649Manganese [Mn] as principal constituent H01L2224/84655Nickel [Ni] as principal constituent H01L2224/84657Cobalt [Co] as principal constituent H01L2224/8466Iron [Fe] as principal constituent H01L2224/84663the principal constituent melting at a temperature of greater than 1550°C H01L2224/84664Palladium [Pd] as principal constituent H01L2224/84666Titanium [Ti] as principal constituent H01L2224/84669Platinum [Pt] as principal constituent H01L2224/8467Zirconium [Zr] as principal constituent H01L2224/84671Chromium [Cr] as principal constituent H01L2224/84672Vanadium [V] as principal constituent H01L2224/84673Rhodium [Rh] as principal constituent H01L2224/84676Ruthenium [Ru] as principal constituent H01L2224/84678Iridium [Ir] as principal constituent H01L2224/84679Niobium [Nb] as principal constituent H01L2224/8468Molybdenum [Mo] as principal constituent H01L2224/84681Tantalum [Ta] as principal constituent H01L2224/84683Rhenium [Re] as principal constituent H01L2224/84684Tungsten [W] as principal constituent H01L2224/84686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/84687Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/84688 H01L2224/84688Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8469with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/84691The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/84693with a principal constituent of the material being a solid not provided for in groups H01L2224/846 - H01L2224/84691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/84694with a principal constituent of the material being a liquid not provided for in groups H01L2224/846 - H01L2224/84691 H01L2224/84695with a principal constituent of the material being a gas not provided for in groups H01L2224/846 - H01L2224/84691 H01L2224/84698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/84699Coating material H01L2224/847with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2224/84701the principal constituent melting at a temperature of less than 400°C H01L2224/84705Gallium [Ga] as principal constituent H01L2224/84709Indium [In] as principal constituent H01L2224/84711Tin [Sn] as principal constituent H01L2224/84713Bismuth [Bi] as principal constituent H01L2224/84714Thallium [Tl] as principal constituent H01L2224/84716Lead [Pb] as principal constituent H01L2224/84717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/84718Zinc [Zn] as principal constituent H01L2224/8472Antimony [Sb] as principal constituent H01L2224/84723Magnesium [Mg] as principal constituent H01L2224/84724Aluminium [Al] as principal constituent H01L2224/84738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/84739Silver [Ag] as principal constituent H01L2224/84744Gold [Au] as principal constituent H01L2224/84747Copper [Cu] as principal constituent H01L2224/84749Manganese [Mn] as principal constituent H01L2224/84755Nickel [Ni] as principal constituent H01L2224/84757Cobalt [Co] as principal constituent H01L2224/8476Iron [Fe] as principal constituent H01L2224/84763the principal constituent melting at a temperature of greater than 1550°C H01L2224/84764Palladium [Pd] as principal constituent H01L2224/84766Titanium [Ti] as principal constituent H01L2224/84769Platinum [Pt] as principal constituent H01L2224/8477Zirconium [Zr] as principal constituent H01L2224/84771Chromium [Cr] as principal constituent H01L2224/84772Vanadium [V] as principal constituent H01L2224/84773Rhodium [Rh] as principal constituent H01L2224/84776Ruthenium [Ru] as principal constituent H01L2224/84778Iridium [Ir] as principal constituent H01L2224/84779Niobium [Nb] as principal constituent H01L2224/8478Molybdenum [Mo] as principal constituent H01L2224/84781Tantalum [Ta] as principal constituent H01L2224/84783Rhenium [Re] as principal constituent H01L2224/84784Tungsten [W] as principal constituent H01L2224/84786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/84787Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/84788 H01L2224/84788Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8479with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/84791The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/84793with a principal constituent of the material being a solid not provided for in groups H01L2224/847 - H01L2224/84791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/84794with a principal constituent of the material being a liquid not provided for in groups H01L2224/847 - H01L2224/84791 H01L2224/84795with a principal constituent of the material being a gas not provided for in groups H01L2224/847 - H01L2224/84791 H01L2224/84798with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/84799Shape or distribution of the fillers H01L2224/848Bonding techniques H01L2224/84801Soldering or alloying H01L2224/84805involving forming a eutectic alloy at the bonding interface H01L2224/8481involving forming an intermetallic compound at the bonding interface H01L2224/84815Reflow soldering H01L2224/8482Diffusion bonding H01L2224/84825Solid-liquid interdiffusion H01L2224/8483Solid-solid interdiffusion H01L2224/8484Sintering H01L2224/8485using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester H01L2224/84855Hardening the adhesive by curing, i.e. thermosetting H01L2224/84856Pre-cured adhesive, i.e. B-stage adhesive H01L2224/84859Localised curing of parts of the connector H01L2224/84862Heat curing H01L2224/84865Microwave curing H01L2224/84868Infrared [IR] curing H01L2224/84871Visible light curing H01L2224/84874Ultraviolet [UV] curing H01L2224/84877Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes H01L2224/8488Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives H01L2224/84885Combinations of two or more hardening methods provided for in at least two different groups from H01L2224/84855 - H01L2224/8488, e.g. for hybrid thermoplastic-thermosetting adhesives H01L2224/8489using an inorganic non metallic glass type adhesive, e.g. solder glass H01L2224/84893Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond H01L2224/84895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces H01L2224/84897between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding H01L2224/84898between electrically insulating surfaces, e.g. oxide or nitride layersg H01L2224/84899Combinations of bonding methods provided for in at least two different groups from H01L2224/848 - H01L2224/84898 H01L2224/849involving monitoring, e.g. feedback loop H01L2224/84909Post-treatment of the connector or bonding area H01L2224/8491Cleaning, e.g. oxide removal step, desmearing H01L2224/84911Chemical cleaning, e.g. etching, flux H01L2224/84912Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow H01L2224/84913Plasma cleaning H01L2224/84914Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge H01L2224/84919Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8491 - H01L2224/84914 H01L2224/8492Applying permanent coating, e.g. protective coating H01L2224/8493Reshaping, e.g. for severing the strap, modifying the loop shape H01L2224/84931by chemical means, e.g. etching H01L2224/84935by heating means, e.g. reflowing H01L2224/84937using a polychromatic heating lamp H01L2224/84939using a laser H01L2224/84941Induction heating, i.e. eddy currents H01L2224/84943using a flame torch, e.g. hydrogen torch H01L2224/84945using a corona discharge, e.g. electronic flame off [EFO] H01L2224/84947by mechanical means, e.g. pressing, stamping H01L2224/84948Thermal treatments, e.g. annealing, controlled cooling H01L2224/84951Forming additional members, e.g. for reinforcing H01L2224/84986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence H01L2224/85using a wire connector H01L2224/85001involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate H01L2224/85002being a removable or sacrificial coating H01L2224/85005being a temporary or sacrificial substrate H01L2224/85007involving a permanent auxiliary member being left in the finished device, e.g. aids for holding or protecting the wire connector during or after the bonding process H01L2224/85009Pre-treatment of the connector or the bonding area H01L2224/8501Cleaning, e.g. oxide removal step, desmearing H01L2224/85011Chemical cleaning, e.g. etching, flux H01L2224/85012Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow H01L2224/85013Plasma cleaning H01L2224/85014Thermal cleaning, e.g. decomposition, sublimation H01L2224/85016using a laser H01L2224/85017Electron beam cleaning H01L2224/85019Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8501 - H01L2224/85014 H01L2224/8502Applying permanent coating, e.g. in-situ coating H01L2224/8503Reshaping, e.g. forming the ball or the wedge of the wire connector H01L2224/85031by chemical means, e.g. etching, anodisation H01L2224/85035by heating means, e.g. "free-air-ball" H01L2224/85037using a polychromatic heating lamp H01L2224/85039using a laser H01L2224/85041Induction heating, i.e. eddy currents H01L2224/85043using a flame torch, e.g. hydrogen torch H01L2224/85045using a corona discharge, e.g. electronic flame off [EFO] H01L2224/85047by mechanical means, e.g. severing, pressing, stamping H01L2224/85048Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling H01L2224/85051Forming additional members, e.g. for "wedge-on-ball", "ball-on-wedge", "ball-on-ball" connections H01L2224/85053Bonding environment H01L2224/85054Composition of the atmosphere H01L2224/85055being oxidating H01L2224/85065being reducing H01L2224/85075being inert H01L2224/85085being a liquid, e.g. for fluidic self-assembly H01L2224/8509Vacuum H01L2224/85091Under pressure H01L2224/85092Atmospheric pressure H01L2224/85093Transient conditions, e.g. gas-flow H01L2224/85095Temperature settings H01L2224/85096Transient conditions H01L2224/85097Heating H01L2224/85098Cooling H01L2224/85099Ambient temperature H01L2224/851the connector being supplied to the parts to be connected in the bonding apparatus H01L2224/8511involving protection against electrical discharge, e.g. removing electrostatic charge H01L2224/8512Aligning H01L2224/85121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors H01L2224/85122by detecting inherent features of, or outside, the semiconductor or solid-state body H01L2224/85123Shape or position of the body H01L2224/85125Bonding areas on the body H01L2224/85127Bonding areas outside the body H01L2224/85129Shape or position of the other item H01L2224/8513using marks formed on the semiconductor or solid-state body H01L2224/85132using marks formed outside the semiconductor or solid-state body, i.e. "off-chip" H01L2224/85136involving guiding structures, e.g. spacers or supporting members H01L2224/85138the guiding structures being at least partially left in the finished device H01L2224/85143Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium H01L2224/85148involving movement of a part of the bonding apparatus H01L2224/85149being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table H01L2224/8515Rotational movements H01L2224/8516Translational movements H01L2224/85169being the upper part of the bonding apparatus, i.e. bonding head, e.g. capillary or wedge H01L2224/8517Rotational movements H01L2224/8518Translational movements H01L2224/85181connecting first on the semiconductor or solid-state body, i.e. on-chip, regular stitch H01L2224/85186connecting first outside the semiconductor or solid-state body, i.e. off-chip, reverse stitch H01L2224/85191connecting first both on and outside the semiconductor or solid-state body, i.e. regular and reverse stitches H01L2224/85196involving intermediate connecting steps before cutting the wire connector H01L2224/852Applying energy for connecting H01L2224/85201Compression bonding H01L2224/85203Thermocompression bonding H01L2224/85205Ultrasonic bonding H01L2224/85206Direction of oscillation H01L2224/85207Thermosonic bonding H01L2224/8521with energy being in the form of electromagnetic radiation H01L2224/85212Induction heating, i.e. eddy currents H01L2224/85214using a laser H01L2224/8523Polychromatic or infrared lamp heating H01L2224/85232using an autocatalytic reaction, e.g. exothermic brazing H01L2224/85234using means for applying energy being within the device, e.g. integrated heater H01L2224/85236using electro-static corona discharge H01L2224/85237using electron beam using electron beam in general B23K15/00 H01L2224/85238using electric resistance welding, i.e. ohmic heating H01L2224/8534Bonding interfaces of the connector H01L2224/85345Shape, e.g. interlocking features H01L2224/85355having an external coating, e.g. protective bond-through coating H01L2224/85359Material H01L2224/8536Bonding interfaces of the semiconductor or solid state body H01L2224/85365Shape, e.g. interlocking features H01L2224/85375having an external coating, e.g. protective bond-through coating H01L2224/85379Material H01L2224/8538Bonding interfaces outside the semiconductor or solid-state body H01L2224/85385Shape, e.g. interlocking features H01L2224/85395having an external coating, e.g. protective bond-through coating H01L2224/85399Material H01L2224/854with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/85401the principal constituent melting at a temperature of less than 400°C H01L2224/85405Gallium (Ga) as principal constituent H01L2224/85409Indium (In) as principal constituent H01L2224/85411Tin (Sn) as principal constituent H01L2224/85413Bismuth (Bi) as principal constituent H01L2224/85414Thallium (Tl) as principal constituent H01L2224/85416Lead (Pb) as principal constituent H01L2224/85417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/85418Zinc (Zn) as principal constituent H01L2224/8542Antimony (Sb) as principal constituent H01L2224/85423Magnesium (Mg) as principal constituent H01L2224/85424Aluminium (Al) as principal constituent H01L2224/85438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/85439Silver (Ag) as principal constituent H01L2224/85444Gold (Au) as principal constituent H01L2224/85447Copper (Cu) as principal constituent H01L2224/85449Manganese (Mn) as principal constituent H01L2224/85455Nickel (Ni) as principal constituent H01L2224/85457Cobalt (Co) as principal constituent H01L2224/8546Iron (Fe) as principal constituent H01L2224/85463the principal constituent melting at a temperature of greater than 1550°C H01L2224/85464Palladium (Pd) as principal constituent H01L2224/85466Titanium (Ti) as principal constituent H01L2224/85469Platinum (Pt) as principal constituent H01L2224/8547Zirconium (Zr) as principal constituent H01L2224/85471Chromium (Cr) as principal constituent H01L2224/85472Vanadium (V) as principal constituent H01L2224/85473Rhodium (Rh) as principal constituent H01L2224/85476Ruthenium (Ru) as principal constituent H01L2224/85478Iridium (Ir) as principal constituent H01L2224/85479Niobium (Nb) as principal constituent H01L2224/8548Molybdenum (Mo) as principal constituent H01L2224/85481Tantalum (Ta) as principal constituent H01L2224/85483Rhenium (Re) as principal constituent H01L2224/85484Tungsten (W) as principal constituent H01L2224/85486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/85487Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/85488 H01L2224/85488Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8549with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/85491The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/85493with a principal constituent of the material being a solid not provided for in groups H01L2224/854 - H01L2224/85491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/85494with a principal constituent of the material being a liquid not provided for in groups H01L2224/854 - H01L2224/85491 H01L2224/85495with a principal constituent of the material being a gas not provided for in groups H01L2224/854 - H01L2224/85491 H01L2224/85498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/85499Material of the matrix H01L2224/855with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/85501the principal constituent melting at a temperature of less than 400°C H01L2224/85505Gallium (Ga) as principal constituent H01L2224/85509Indium (In) as principal constituent H01L2224/85511Tin (Sn) as principal constituent H01L2224/85513Bismuth (Bi) as principal constituent H01L2224/85514Thallium (Tl) as principal constituent H01L2224/85516Lead (Pb) as principal constituent H01L2224/85517the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/85518Zinc (Zn) as principal constituent H01L2224/8552Antimony (Sb) as principal constituent H01L2224/85523Magnesium (Mg) as principal constituent H01L2224/85524Aluminium (Al) as principal constituent H01L2224/85538the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/85539Silver (Ag) as principal constituent H01L2224/85544Gold (Au) as principal constituent H01L2224/85547Copper (Cu) as principal constituent H01L2224/85549Manganese (Mn) as principal constituent H01L2224/85555Nickel (Ni) as principal constituent H01L2224/85557Cobalt (Co) as principal constituent H01L2224/8556Iron (Fe) as principal constituent H01L2224/85563the principal constituent melting at a temperature of greater than 1550°C H01L2224/85564Palladium (Pd) as principal constituent H01L2224/85566Titanium (Ti) as principal constituent H01L2224/85569Platinum (Pt) as principal constituent H01L2224/8557Zirconium (Zr) as principal constituent H01L2224/85571Chromium (Cr) as principal constituent H01L2224/85572Vanadium (V) as principal constituent H01L2224/85573Rhodium (Rh) as principal constituent H01L2224/85576Ruthenium (Ru) as principal constituent H01L2224/85578Iridium (Ir) as principal constituent H01L2224/85579Niobium (Nb) as principal constituent H01L2224/8558Molybdenum (Mo) as principal constituent H01L2224/85581Tantalum (Ta) as principal constituent H01L2224/85583Rhenium (Re) as principal constituent H01L2224/85584Tungsten (W) as principal constituent H01L2224/85586with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/85587Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/85588 H01L2224/85588Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8559with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/85591The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/85593with a principal constituent of the material being a solid not provided for in groups H01L2224/855 - H01L2224/85591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/85594with a principal constituent of the material being a liquid not provided for in groups H01L2224/855 - H01L2224/85591 H01L2224/85595with a principal constituent of the material being a gas not provided for in groups H01L2224/855 - H01L2224/85591 H01L2224/85598Fillers H01L2224/85599Base material H01L2224/856with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/85601the principal constituent melting at a temperature of less than 400°C H01L2224/85605Gallium (Ga) as principal constituent H01L2224/85609Indium (In) as principal constituent H01L2224/85611Tin (Sn) as principal constituent H01L2224/85613Bismuth (Bi) as principal constituent H01L2224/85614Thallium (Tl) as principal constituent H01L2224/85616Lead (Pb) as principal constituent H01L2224/85617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/85618Zinc (Zn) as principal constituent H01L2224/8562Antimony (Sb) as principal constituent H01L2224/85623Magnesium (Mg) as principal constituent H01L2224/85624Aluminium (Al) as principal constituent H01L2224/85638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/85639Silver (Ag) as principal constituent H01L2224/85644Gold (Au) as principal constituent H01L2224/85647Copper (Cu) as principal constituent H01L2224/85649Manganese (Mn) as principal constituent H01L2224/85655Nickel (Ni) as principal constituent H01L2224/85657Cobalt (Co) as principal constituent H01L2224/8566Iron (Fe) as principal constituent H01L2224/85663the principal constituent melting at a temperature of greater than 1550°C H01L2224/85664Palladium (Pd) as principal constituent H01L2224/85666Titanium (Ti) as principal constituent H01L2224/85669Platinum (Pt) as principal constituent H01L2224/8567Zirconium (Zr) as principal constituent H01L2224/85671Chromium (Cr) as principal constituent H01L2224/85672Vanadium (V) as principal constituent H01L2224/85673Rhodium (Rh) as principal constituent H01L2224/85676Ruthenium (Ru) as principal constituent H01L2224/85678Iridium (Ir) as principal constituent H01L2224/85679Niobium (Nb) as principal constituent H01L2224/8568Molybdenum (Mo) as principal constituent H01L2224/85681Tantalum (Ta) as principal constituent H01L2224/85683Rhenium (Re) as principal constituent H01L2224/85684Tungsten (W) as principal constituent H01L2224/85686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/85687Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/85688 H01L2224/85688Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8569with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/85691The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/85693with a principal constituent of the material being a solid not provided for in groups H01L2224/856 - H01L2224/85691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/85694with a principal constituent of the material being a liquid not provided for in groups H01L2224/856 - H01L2224/85691 H01L2224/85695with a principal constituent of the material being a gas not provided for in groups H01L2224/856 - H01L2224/85691 H01L2224/85698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/85699Coating material H01L2224/857with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof H01L2224/85701the principal constituent melting at a temperature of less than 400°C H01L2224/85705Gallium (Ga) as principal constituent H01L2224/85709Indium (In) as principal constituent H01L2224/85711Tin (Sn) as principal constituent H01L2224/85713Bismuth (Bi) as principal constituent H01L2224/85714Thallium (Tl) as principal constituent H01L2224/85716Lead (Pb) as principal constituent H01L2224/85717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/85718Zinc (Zn) as principal constituent H01L2224/8572Antimony (Sb) as principal constituent H01L2224/85723Magnesium (Mg) as principal constituent H01L2224/85724Aluminium (Al) as principal constituent H01L2224/85738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/85739Silver (Ag) as principal constituent H01L2224/85744Gold (Au) as principal constituent H01L2224/85747Copper (Cu) as principal constituent H01L2224/85749Manganese (Mn) as principal constituent H01L2224/85755Nickel (Ni) as principal constituent H01L2224/85757Cobalt (Co) as principal constituent H01L2224/8576Iron (Fe) as principal constituent H01L2224/85763the principal constituent melting at a temperature of greater than 1550°C H01L2224/85764Palladium (Pd) as principal constituent H01L2224/85766Titanium (Ti) as principal constituent H01L2224/85769Platinum (Pt) as principal constituent H01L2224/8577Zirconium (Zr) as principal constituent H01L2224/85771Chromium (Cr) as principal constituent H01L2224/85772Vanadium (V) as principal constituent H01L2224/85773Rhodium (Rh) as principal constituent H01L2224/85776Ruthenium (Ru) as principal constituent H01L2224/85778Iridium (Ir) as principal constituent H01L2224/85779Niobium (Nb) as principal constituent H01L2224/8578Molybdenum (Mo) as principal constituent H01L2224/85781Tantalum (Ta) as principal constituent H01L2224/85783Rhenium (Re) as principal constituent H01L2224/85784Tungsten (W) as principal constituent H01L2224/85786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/85787Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/85788 H01L2224/85788Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2224/8579with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2224/85791The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2224/85793with a principal constituent of the material being a solid not provided for in groups H01L2224/857 - H01L2224/85791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2224/85794with a principal constituent of the material being a liquid not provided for in groups H01L2224/857 - H01L2224/85791 H01L2224/85795with a principal constituent of the material being a gas not provided for in groups H01L2224/857 - H01L2224/85791 H01L2224/85798with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2224/85799Shape or distribution of the fillers H01L2224/858Bonding techniques H01L2224/85801Soldering or alloying H01L2224/85805involving forming a eutectic alloy at the bonding interface H01L2224/8581involving forming an intermetallic compound at the bonding interface H01L2224/85815Reflow soldering H01L2224/8582Diffusion bonding H01L2224/85825Solid-liquid interdiffusion H01L2224/8583Solid-solid interdiffusion, e.g. "direct bonding" H01L2224/8584Sintering H01L2224/8585using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester H01L2224/85855Hardening the adhesive by curing, i.e. thermosetting H01L2224/85856Pre-cured adhesive, i.e. B-stage adhesive H01L2224/85859Localised curing of parts of the connector H01L2224/85862Heat curing H01L2224/85865Microwave curing H01L2224/85868Infrared [IR] curing H01L2224/85871Visible light curing H01L2224/85874Ultraviolet [UV] curing H01L2224/85877Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes H01L2224/8588Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives H01L2224/85885Combinations of two or more hardening methods provided for in at least two different groups from H01L2224/85855 - H01L2224/8588, e.g. for hybrid thermoplastic-thermosetting adhesives H01L2224/8589using an inorganic non metallic glass type adhesive, e.g. solder glass H01L2224/85893Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond H01L2224/85895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces H01L2224/85897between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding H01L2224/85898between electrically insulating surfaces, e.g. oxide or nitride layers H01L2224/85899Combinations of bonding methods provided for in at least two different groups from H01L2224/858 - H01L2224/85898 H01L2224/859involving monitoring, e.g. feedback loop H01L2224/85909Post-treatment of the connector or wire bonding area H01L2224/8591Cleaning, e.g. oxide removal step, desmearing H01L2224/85911Chemical cleaning, e.g. etching, flux H01L2224/85912Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow H01L2224/85913Plasma cleaning H01L2224/85914Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge H01L2224/85916using a laser H01L2224/85917Electron beam cleaning H01L2224/85919Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8591 - H01L2224/85914 H01L2224/8592Applying permanent coating, e.g. protective coating H01L2224/8593Reshaping, e.g. for severing the wire, modifying the wedge or ball or the loop shape H01L2224/85931by chemical means, e.g. etching H01L2224/85935by heating means, e.g. reflowing H01L2224/85937using a polychromatic heating lamp H01L2224/85939using a laser H01L2224/85941Induction heating, i.e. eddy currents H01L2224/85943using a flame torch, e.g. hydrogen torch H01L2224/85945using a corona discharge, e.g. electronic flame off [EFO] H01L2224/85947by mechanical means, e.g. "pull-and-cut", pressing, stamping H01L2224/85948Thermal treatments, e.g. annealing, controlled cooling H01L2224/85951Forming additional members, e.g. for reinforcing H01L2224/85986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence H01L2224/86using tape automated bonding [TAB] H01L2224/86001involving a temporary auxiliary member not forming part of the bonding apparatus H01L2224/86002being a removable or sacrificial coating H01L2224/86005being a temporary or sacrificial substrate H01L2224/86007involving a permanent auxiliary member being left in the finished device, e.g. aids for holding or protecting the TAB connector during or after the bonding process H01L2224/86009Pre-treatment of the connector or the bonding area H01L2224/8601Cleaning, e.g. oxide removal step, desmearing H01L2224/8603Reshaping H01L2224/86031by chemical means, e.g. etching, anodisation H01L2224/86035by heating H01L2224/86039using a laser H01L2224/86045using a corona discharge, e.g. electronic flame off [EFO] H01L2224/86047by mechanical means, e.g. severing, pressing, stamping H01L2224/86048Thermal treatment, e.g. annealing, controlled pre-heating or pre-cooling H01L2224/86051Forming additional members H01L2224/86053Bonding environment H01L2224/86054Composition of the atmosphere H01L2224/86085being a liquid, e.g. fluidic self-assembly H01L2224/8609Vacuum H01L2224/86091Under pressure H01L2224/86095Temperature settings H01L2224/86096Transient conditions H01L2224/86097Heating H01L2224/86098Cooling H01L2224/86099Ambient temperature H01L2224/861the connector being supplied to the parts to be connected in the bonding apparatus H01L2224/8611involving protection against electrical discharge, e.g. removing electrostatic charge H01L2224/8612Aligning H01L2224/86121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors H01L2224/86122by detecting inherent features of, or outside, the semiconductor or solid-state body H01L2224/8613using marks formed on the semiconductor or solid-state body H01L2224/86132using marks formed outside the semiconductor or solid-state body, i.e. "off-chip" H01L2224/86136involving guiding structures, e.g. spacers or supporting members H01L2224/86138the guiding structures being at least partially left in the finished device H01L2224/86143Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium H01L2224/86148involving movement of a part of the bonding apparatus H01L2224/86149being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table H01L2224/8615Rotational movements H01L2224/8616Translational movements H01L2224/86169being the upper part of the bonding apparatus, e.g. nozzle H01L2224/8617Rotational movement H01L2224/8618Translational movements H01L2224/86181connecting first on the semiconductor or solid-state body, i.e. on-chip, H01L2224/86186connecting first outside the semiconductor or solid-state body, i.e. off-chip H01L2224/86191connecting first both on and outside the semiconductor or solid-state body H01L2224/862Applying energy for connecting H01L2224/86201Compression bonding H01L2224/86203Thermo-compression bonding H01L2224/86205Ultrasonic bonding H01L2224/86207Thermosonic bonding H01L2224/8621with energy being in the form of electromagnetic radiation H01L2224/86212Induction heating, i.e. eddy currents H01L2224/86214using a laser H01L2224/8623Polychromatic or infrared lamp heating H01L2224/86232using an autocatalytic reaction, e.g. exothermic brazing H01L2224/86234using means for applying energy being within the device, e.g. integrated heater H01L2224/86236using electro-static corona discharge H01L2224/86237using electron beam electron beam in general B23K15/00 H01L2224/86238using electric resistance welding, i.e. ohmic heating H01L2224/8634Bonding interfaces of the connector H01L2224/86345Shape, e.g. interlocking features H01L2224/86355having an external coating, e.g. protective bond-through coating H01L2224/86359Material H01L2224/8636Bonding interfaces of the semiconductor or solid state body H01L2224/86365Shape, e.g. interlocking features H01L2224/86375having an external coating, e.g. protective bond-through coating H01L2224/86379Material H01L2224/8638Bonding interfaces outside the semiconductor or solid-state body H01L2224/86385Shape, e.g. interlocking features H01L2224/86395having an external coating, e.g. protective bond-through coating H01L2224/86399Material H01L2224/868Bonding techniques H01L2224/86801Soldering or alloying H01L2224/86805involving forming a eutectic alloy at the bonding interface H01L2224/8681involving forming an intermetallic compound at the bonding interface H01L2224/86815Reflow soldering H01L2224/8682Diffusion bonding H01L2224/86825Solid-liquid interdiffusion H01L2224/8683Solid-solid interdiffusion H01L2224/8684Sintering H01L2224/8685using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester H01L2224/86855Hardening the adhesive by curing, i.e. thermosetting H01L2224/86856Pre-cured adhesive, i.e. B-stage adhesive H01L2224/86859Localised curing of parts of the connector H01L2224/86862Heat curing H01L2224/86865Microwave curing H01L2224/86868Infrared [IR] curing H01L2224/86871Visible light curing H01L2224/86874Ultraviolet [UV] curing H01L2224/86877Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes H01L2224/8688Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives H01L2224/86885Combinations of two or more hardening methods provided for in at least two different groups selected from H01L2224/86855 - H01L2224/8688, e.g. hybrid thermoplastic-thermosetting adhesives H01L2224/8689using an inorganic non metallic glass type adhesive, e.g. solder glass H01L2224/86893Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond H01L2224/86895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces H01L2224/86896between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding H01L2224/86897between electrically insulating surfaces, e.g. oxide or nitride layers H01L2224/86899Combinations of bonding methods provided for in at least two different groups from H01L2224/868 - H01L2224/86897 H01L2224/869involving monitoring, e.g. feedback loop H01L2224/86909Post-treatment of the connector or the bonding area H01L2224/8691Cleaning, e.g. oxide removal step, desmearing H01L2224/8693Reshaping H01L2224/86931by chemical means, e.g. etching, anodisation H01L2224/86935by heating means H01L2224/86939using a laser H01L2224/86945using a corona discharge, e.g. electronic flame off [EFO] H01L2224/86947by mechanical means, e.g. severing, pressing, stamping H01L2224/86948Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling H01L2224/86951Forming additional members H01L2224/86986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence H01L2224/89using at least one connector not provided for in any of the groups H01L2224/81 - H01L2224/86 H01L2224/90Methods for connecting semiconductor or solid state bodies using means for bonding not being attached to, or not being formed on, the body surface to be connected, e.g. pressure contacts using springs or clips H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90 H01L2224/92Specific sequence of method steps H01L2224/9201Forming connectors during the connecting process, e.g. in-situ formation of bumps H01L2224/9202Forming additional connectors after the connecting process H01L2224/9205Intermediate bonding steps, i.e. partial connection of the semiconductor or solid-state body during the connecting process H01L2224/921Connecting a surface with connectors of different types H01L2224/9211Parallel connecting processes H01L2224/9212Sequential connecting processes H01L2224/92122the first connecting process involving a bump connector H01L2224/92124the second connecting process involving a build-up interconnect H01L2224/92125the second connecting process involving a layer connector H01L2224/92127the second connecting process involving a wire connector H01L2224/92132the first connecting process involving a build-up interconnect H01L2224/92133the second connecting process involving a bump connector H01L2224/92135the second connecting process involving a layer connector H01L2224/92136the second connecting process involving a strap connector H01L2224/92137the second connecting process involving a wire connector H01L2224/92138the second connecting process involving a TAB connector H01L2224/92142the first connecting process involving a layer connector H01L2224/92143the second connecting process involving a bump connector H01L2224/92144the second connecting process involving a build-up interconnect H01L2224/92147the second connecting process involving a wire connector H01L2224/92148the second connecting process involving a TAB connector H01L2224/92152the first connecting process involving a strap connector H01L2224/92153the second connecting process involving a bump connector H01L2224/92155the second connecting process involving a layer connector H01L2224/92157the second connecting process involving a wire connector H01L2224/92158the second connecting process involving a TAB connector H01L2224/92162the first connecting process involving a wire connector H01L2224/92163the second connecting process involving a bump connector H01L2224/92164the second connecting process involving a build-up interconnect H01L2224/92165the second connecting process involving a layer connector H01L2224/92166the second connecting process involving a strap connector H01L2224/92168the second connecting process involving a TAB connector H01L2224/92172the first connecting process involving a TAB connector H01L2224/92173the second connecting process involving a bump connector H01L2224/92174the second connecting process involving a build-up interconnect H01L2224/92175the second connecting process involving a layer connector H01L2224/92176the second connecting process involving a strap connector H01L2224/92177the second connecting process involving a wire connector H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types H01L2224/9221Parallel connecting processes H01L2224/9222Sequential connecting processes H01L2224/92222the first connecting process involving a bump connector H01L2224/92224the second connecting process involving a build-up interconnect H01L2224/92225the second connecting process involving a layer connector H01L2224/92226the second connecting process involving a strap connector H01L2224/92227the second connecting process involving a wire connector H01L2224/92228the second connecting process involving a TAB connector H01L2224/92242the first connecting process involving a layer connector H01L2224/92244the second connecting process involving a build-up interconnect H01L2224/92246the second connecting process involving a strap connector H01L2224/92247the second connecting process involving a wire connector H01L2224/92248the second connecting process involving a TAB connector H01L2224/92252the first connecting process involving a strap connector H01L2224/92253the second connecting process involving a bump connector H01L2224/92255the second connecting process involving a layer connector H01L2224/93Batch processes H01L2224/94at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices H01L2224/95at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips H01L2224/95001involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate H01L2224/95053Bonding environment H01L2224/95085being a liquid, e.g. for fluidic self-assembly H01L2224/95091Under pressure H01L2224/95092Atmospheric pressure, e.g. dry self-assembly H01L2224/95093Transient conditions, e.g. assisted by a gas flow or a liquid flow H01L2224/951Supplying the plurality of semiconductor or solid-state bodies H01L2224/95101in a liquid medium H01L2224/95102being a colloidal droplet H01L2224/9511using a rack or rail H01L2224/95115using a roll-to-roll transfer technique H01L2224/9512Aligning the plurality of semiconductor or solid-state bodies H01L2224/95121Active alignment, i.e. by apparatus steering H01L2224/95122by applying vibration H01L2224/95123by applying a pressurised fluid flow, e.g. liquid or gas flow H01L2224/95133by applying an electromagnetic field H01L2224/95134Electrowetting, i.e. by changing the surface energy of a droplet H01L2224/95136involving guiding structures, e.g. shape matching, spacers or supporting members H01L2224/95143Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium H01L2224/95144Magnetic alignment, i.e. using permanent magnetic parts in the semiconductor or solid-state body H01L2224/95145Electrostatic alignment, i.e. polarity alignment with Coulomb charges H01L2224/95146by surface tension H01L2224/95147by molecular lock-key, e.g. by DNA H01L2224/95148involving movement of a part of the bonding apparatus H01L2224/96the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting H01L2224/97the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting H01L2224/98Methods for disconnecting semiconductor or solid-state bodies H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 H01L2225/04the devices not having separate containers H01L2225/065the devices being of a type provided for in group H01L27/00 H01L2225/06503Stacked arrangements of devices H01L2225/06506Wire or wire-like electrical connections between devices H01L2225/0651Wire or wire-like electrical connections from device to substrate H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps H01L2225/06517Bump or bump-like direct electrical connections from device to substrate H01L2225/0652Bump or bump-like direct electrical connections from substrate to substrate H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout H01L2225/06531Non-galvanic coupling, e.g. capacitive coupling H01L2225/06534Optical coupling H01L2225/06537Electromagnetic shielding H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV] manufacturing via connections per se H01L21/76898 H01L2225/06544Design considerations for via connections, e.g. geometry or layout H01L2225/06548Conductive via connections through the substrate, container, or encapsulation H01L2225/06551Conductive connections on the side of the device H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking H01L2225/06558the devices having passive surfaces facing each other, i.e. in a back-to-back arrangement H01L2225/06562at least one device in the stack being rotated or offset H01L2225/06565the devices having the same size and there being no auxiliary carrier between the devices H01L2225/06568the devices decreasing in size, e.g. pyramidical stack H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure H01L2225/06575Auxiliary carrier between devices, the carrier having no electrical connection structure H01L2225/06579TAB carriersbeam leads H01L2225/06582Housing for the assembly, e.g. chip scale package [CSP] H01L2225/06586Housing with external bump or bump-like connectors H01L2225/06589Thermal management, e.g. cooling H01L2225/06593Mounting aids permanently on devicearrangements for alignment use of temporary supports H01L21/6835 H01L2225/06596Structural arrangements for testing testing or measuring during manufacture or treatment H01L22/00; testing electrical properties or locating electrical faults G01R31/00 H01L2225/10the devices having separate containers H01L2225/1005the devices being of a type provided for in group H01L27/00 H01L2225/1011the containers being in a stacked arrangement H01L2225/1017the lowermost container comprising a device support H01L2225/1023the support being an insulating substrate H01L2225/1029the support being a lead frame H01L2225/1035the device being entirely enclosed by the support, e.g. high-density interconnect [HDI] H01L2225/1041Special adaptations for top connections of the lowermost container, e.g. redistribution layer, integral interposer H01L2225/1047Details of electrical connections between containers H01L2225/1052Wire or wire-like electrical connections H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts H01L2225/1064Electrical connections provided on a side surface of one or more of the containers H01L2225/107Indirect electrical connections, e.g. via an interposer, a flexible substrate, using TAB printed circuits H05K1/00 H01L2225/1076Shape of the containers H01L2225/1082for improving alignment between containers, e.g. interlocking features H01L2225/1088Arrangements to limit the height of the assembly H01L2225/1094Thermal management, e.g. cooling H01L2229/00Indexing scheme for semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, for details of semiconductor bodies or of electrodes thereof, or for multistep manufacturing processes therefor H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 H01L2924/0001Technical content checked by a classifier Codes H01L2924/0001 - H01L2924/0002 are used to describe the status of reclassification; they do not relate to technical features as such H01L2924/00011Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group H01L2924/00012Relevant to the scope of the group, the symbol of which is combined with the symbol of this group H01L2924/00013Fully indexed content H01L2924/00014the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details H01L2924/00015the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed as prior art H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00 H01L2924/01Chemical elements H01L2924/01001Hydrogen [H] H01L2924/01002Helium [He] H01L2924/01003Lithium [Li] H01L2924/01004Beryllium [Be] H01L2924/01005Boron [B] H01L2924/01006Carbon [C] H01L2924/01007Nitrogen [N] H01L2924/01008Oxygen [O] H01L2924/01009Fluorine [F] H01L2924/0101Neon [Ne] H01L2924/01011Sodium [Na] H01L2924/01012Magnesium [Mg] H01L2924/01013Aluminum [Al] H01L2924/01014Silicon [Si] H01L2924/01015Phosphorus [P] H01L2924/01016Sulfur [S] H01L2924/01017Chlorine [Cl] H01L2924/01018Argon [Ar] H01L2924/01019Potassium [K] H01L2924/0102Calcium [Ca] H01L2924/01021Scandium [Sc] H01L2924/01022Titanium [Ti] H01L2924/01023Vanadium [V] H01L2924/01024Chromium [Cr] H01L2924/01025Manganese [Mn] H01L2924/01026Iron [Fe] H01L2924/01027Cobalt [Co] H01L2924/01028Nickel [Ni] H01L2924/01029Copper [Cu] H01L2924/0103Zinc [Zn] H01L2924/01031Gallium [Ga] H01L2924/01032Germanium [Ge] H01L2924/01033Arsenic [As] H01L2924/01034Selenium [Se] H01L2924/01035Bromine [Br] H01L2924/01036Krypton [Kr] H01L2924/01037Rubidium [Rb] H01L2924/01038Strontium [Sr] H01L2924/01039Yttrium [Y] H01L2924/0104Zirconium [Zr] H01L2924/01041Niobium [Nb] H01L2924/01042Molybdenum [Mo] H01L2924/01043Technetium [Tc] H01L2924/01044Ruthenium [Ru] H01L2924/01045Rhodium [Rh] H01L2924/01046Palladium [Pd] H01L2924/01047Silver [Ag] H01L2924/01048Cadmium [Cd] H01L2924/01049Indium [In] H01L2924/0105Tin [Sn] H01L2924/01051Antimony [Sb] H01L2924/01052Tellurium [Te] H01L2924/01053Iodine [I] H01L2924/01054Xenon [Xe] H01L2924/01055Cesium [Cs] H01L2924/01056Barium [Ba] H01L2924/01057Lanthanum [La] H01L2924/01058Cerium [Ce] H01L2924/01059Praseodymium [Pr] H01L2924/0106Neodymium [Nd] H01L2924/01061Promethium [Pm] H01L2924/01062Samarium [Sm] H01L2924/01063Europium [Eu] H01L2924/01064Gadolinium [Gd] H01L2924/01065Terbium [Tb] H01L2924/01066Dysprosium [Dy] H01L2924/01067Holmium [Ho] H01L2924/01068Erbium [Er] H01L2924/01069Thulium [Tm] H01L2924/0107Ytterbium [Yb] H01L2924/01071Lutetium [Lu] H01L2924/01072Hafnium [Hf] H01L2924/01073Tantalum [Ta] H01L2924/01074Tungsten [W] H01L2924/01075Rhenium [Re] H01L2924/01076Osmium [Os] H01L2924/01077Iridium [Ir] H01L2924/01078Platinum [Pt] H01L2924/01079Gold [Au] H01L2924/0108Mercury [Hg] H01L2924/01081Thallium [Tl] H01L2924/01082Lead [Pb] H01L2924/01083Bismuth [Bi] H01L2924/01084Polonium [Po] H01L2924/01085Astatine [At] H01L2924/01086Radon [Rn] H01L2924/01087Francium [Fr] H01L2924/01088Radium [Ra] H01L2924/01089Actinium [Ac] H01L2924/0109Thorium [Th] H01L2924/01091Protactinium [Pa] H01L2924/01092Uranium [U] H01L2924/01093Neptunium [Np] H01L2924/01094Plutonium [Pu] H01L2924/011Groups of the periodic table H01L2924/01101Alkali metals H01L2924/01102Alkali earth metals H01L2924/01103Transition metals H01L2924/01104Refractory metals H01L2924/01105Rare earth metals H01L2924/01106Lanthanides, i.e. Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu H01L2924/01107Actinides, i.e. Th, Pa, U, Np, Pu, Am, Cm, Bk, Cf, Es, Fm, Md, No, Lr H01L2924/01108Noble metals H01L2924/01109Metalloids or Semi-metals H01L2924/0111Chalcogens H01L2924/01111Halogens H01L2924/01112Noble gases H01L2924/012Semiconductor purity grades H01L2924/012011N purity grades, i.e. 90% H01L2924/012022N purity grades, i.e. 99% H01L2924/012033N purity grades, i.e. 99.9% H01L2924/012044N purity grades, i.e. 99.99% H01L2924/012055N purity grades, i.e. 99.999% H01L2924/012066N purity grades, i.e. 99.9999% H01L2924/012077N purity grades, i.e. 99.99999% H01L2924/012088N purity grades, i.e. 99.999999% H01L2924/013Alloys H01L2924/0132Binary Alloys H01L2924/01321Isomorphous Alloys H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases H01L2924/01323Hypoeutectic alloys i.e. with compositions lying to the left of the eutectic point H01L2924/01324Hypereutectic alloys i.e. with compositions lying to the right of the eutectic point H01L2924/01325Peritectic Alloys, i.e. obtained by a liquid and a solid transforming into a new and different solid phase H01L2924/01326Monotectics, i.e. obtained by a liquid transforming into a solid and a new and different liquid phase H01L2924/01327Intermediate phases, i.e. intermetallics compounds H01L2924/0133Ternary Alloys H01L2924/0134Quaternary Alloys H01L2924/0135Quinary Alloys H01L2924/014Solder alloys H01L2924/01402Invar, i.e. single-phase alloy of around 36% nickel and 64% iron H01L2924/01403Kovar, i.e. FeNiCo alloys H01L2924/01404Alloy 42, i.e. FeNi42 H01L2924/01405Inovco, i.e. Fe-33Ni-4.5Co H01L2924/042Borides composed of metals from groups of the periodic table H01L2924/04211st Group H01L2924/04222nd Group H01L2924/04233rd Group H01L2924/04244th Group H01L2924/04255th Group H01L2924/04266th Group H01L2924/04277th Group H01L2924/04288th Group H01L2924/04299th Group H01L2924/04410th Group H01L2924/044111th Group H01L2924/044212th Group H01L2924/044313th Group H01L2924/044414th Group H01L2924/0445Lanthanides H01L2924/0446Actinides H01L2924/0449being a combination of two or more materials provided in the groups H01L2924/0421 - H01L2924/0446 H01L2924/04491having a monocrystalline microstructure H01L2924/04492having a polycrystalline microstructure H01L2924/04494having an amorphous microstructure, i.e. glass H01L2924/045Carbides composed of metals from groups of the periodic table H01L2924/04511st Group H01L2924/04522nd Group H01L2924/04533rd Group H01L2924/04544th Group H01L2924/04541TiC H01L2924/04555th Group H01L2924/04566th Group H01L2924/04563WC H01L2924/04577th Group H01L2924/04588th Group H01L2924/04599th Group H01L2924/04610th Group H01L2924/046111th Group H01L2924/046212th Group H01L2924/046313th Group H01L2924/046414th Group H01L2924/04642SiC H01L2924/0465Lanthanides H01L2924/0466Actinides H01L2924/0469being a combination of two or more materials provided in the groups H01L2924/0451 - H01L2924/0466 H01L2924/04691having a monocrystalline microstructure H01L2924/04692having a polycrystalline microstructure H01L2924/04694having an amorphous microstructure, i.e. glass H01L2924/047Silicides composed of metals from groups of the periodic table H01L2924/04711st Group H01L2924/04722nd Group H01L2924/04733rd Group H01L2924/04744th Group H01L2924/04755th Group H01L2924/04766th Group H01L2924/04777th Group H01L2924/04788th Group H01L2924/04799th Group H01L2924/04810th Group H01L2924/048111th Group H01L2924/048212th Group H01L2924/048313th Group H01L2924/048414th Group H01L2924/0485Lanthanides H01L2924/0486Actinides H01L2924/0489being a combination of two or more materials provided in the groups H01L2924/0471 - H01L2924/0486 H01L2924/04891having a monocrystalline microstructure H01L2924/04892having a polycrystalline microstructure H01L2924/04894having an amorphous microstructure, i.e. glass H01L2924/049Nitrides composed of metals from groups of the periodic table H01L2924/04911st Group H01L2924/04922nd Group H01L2924/04933rd Group H01L2924/04944th Group H01L2924/04941TiN H01L2924/04955th Group H01L2924/04953TaN H01L2924/04966th Group H01L2924/04977th Group H01L2924/04988th Group H01L2924/04999th Group H01L2924/0510th Group H01L2924/050111th Group H01L2924/050212th Group H01L2924/050313th Group H01L2924/05032AlN H01L2924/050414th Group H01L2924/05042Si3N4 H01L2924/0505Lanthanides H01L2924/0506Actinides H01L2924/0509being a combination of two or more materials provided in the groups H01L2924/0491 - H01L2924/0506 H01L2924/05091having a monocrystalline microstructure H01L2924/05092having a polycrystalline microstructure H01L2924/05094having an amorphous microstructure, i.e. glass H01L2924/051Phosphides composed of metals from groups of the periodic table H01L2924/05111st Group H01L2924/05122nd Group H01L2924/05133rd Group H01L2924/05144th Group H01L2924/05155th Group H01L2924/05166th Group H01L2924/05177th Group H01L2924/05188th Group H01L2924/05199th Group H01L2924/05210th Group H01L2924/052111th Group H01L2924/052212th Group H01L2924/052313th Group H01L2924/052414th Group H01L2924/0525Lanthanides H01L2924/0526Actinides H01L2924/0529being a combination of two or more materials provided in the groups H01L2924/0511 - H01L2924/0526 H01L2924/05291having a monocrystalline microstructure H01L2924/05292having a polycrystalline microstructure H01L2924/05294having an amorphous microstructure, i.e. glass H01L2924/053Oxides composed of metals from groups of the periodic table H01L2924/05311st Group H01L2924/05322nd Group H01L2924/05333rd Group H01L2924/05344th Group H01L2924/05341TiO2 H01L2924/05342ZrO2 H01L2924/05355th Group H01L2924/05366th Group H01L2924/05377th Group H01L2924/05388th Group H01L2924/05381FeOx H01L2924/05399th Group H01L2924/05410th Group H01L2924/054111th Group H01L2924/054212th Group H01L2924/054313th Group H01L2924/05432Al2O3 H01L2924/054414th Group H01L2924/05442SiO2 H01L2924/0545Lanthanides H01L2924/0546Actinides H01L2924/0549being a combination of two or more materials provided in the groups H01L2924/0531 - H01L2924/0546 H01L2924/05491having a monocrystalline microstructure H01L2924/05492having a polycrystalline microstructure H01L2924/05494having an amorphous microstructure, i.e. glass H01L2924/055Chalcogenides other than oxygen i.e. sulfides, selenides and tellurides composed of metals from groups of the periodic table H01L2924/05511st Group H01L2924/05522nd Group H01L2924/05533rd Group H01L2924/05544th Group H01L2924/05555th Group H01L2924/05566th Group H01L2924/05577th Group H01L2924/05588th Group H01L2924/05599th Group H01L2924/05610th Group H01L2924/056111th Group H01L2924/056212th Group H01L2924/056313th Group H01L2924/056414th Group H01L2924/0565Lanthanides H01L2924/0566Actinides H01L2924/0569being a combination of two or more materials provided in the groups H01L2924/0551 - H01L2924/0566 H01L2924/05691having a monocrystalline microstructure H01L2924/05692having a polycrystalline microstructure H01L2924/05694having an amorphous microstructure, i.e. glass H01L2924/057Halides composed of metals from groups of the periodic table H01L2924/05711st Group H01L2924/05722nd Group H01L2924/05733rd Group H01L2924/05744th Group H01L2924/05755th Group H01L2924/05766th Group H01L2924/05777th Group H01L2924/05788th Group H01L2924/05799th Group H01L2924/05810th Group H01L2924/058111th Group H01L2924/058212th Group H01L2924/058313th Group H01L2924/058414th Group H01L2924/0585Lanthanides H01L2924/0586Actinides H01L2924/0589being a combination of two or more materials provided in the groups H01L2924/0571 - H01L2924/0586 H01L2924/05891having a monocrystalline microstructure H01L2924/05892having a polycrystalline microstructure H01L2924/05894having an amorphous microstructure, i.e. glass H01L2924/059Being combinations of any of the materials from the groups H01L2924/042 - H01L2924/0584, e.g. oxynitrides H01L2924/05991having a monocrystalline microstructure H01L2924/05992having a polycrystalline microstructure H01L2924/05994having an amorphous microstructure, i.e. glass H01L2924/06Polymers polymers per se C08; polymer adhesives C09J H01L2924/061Polyolefin polymer H01L2924/0615Styrenic polymer H01L2924/062Halogenated polymer H01L2924/0625Polyvinyl alchohol H01L2924/063Polyvinyl acetate H01L2924/0635Acrylic polymer H01L2924/064Graft polymer H01L2924/0645Block copolymer H01L2924/065ABS H01L2924/0655Polyacetal H01L2924/066Phenolic resin H01L2924/0665Epoxy resin H01L2924/067Polyphenylene H01L2924/0675Polyester H01L2924/068Polycarbonate H01L2924/0685Polyether H01L2924/069Polyurethane H01L2924/0695Polyamide H01L2924/07Polyamine or polyimide H01L2924/07001Polyamine H01L2924/07025Polyimide H01L2924/0705Sulfur containing polymer H01L2924/0715Polysiloxane H01L2924/078Adhesive characteristics other than chemical H01L2924/07802not being an ohmic electrical conductor H01L2924/0781being an ohmic electrical conductor H01L2924/07811Extrinsic, i.e. with electrical conductive fillers H01L2924/07812Intrinsic, e.g. polyaniline [PANI] H01L2924/0782being pressure sensitive H01L2924/095with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715 H01L2924/0951Glass epoxy laminates H01L2924/09511FR-4 H01L2924/09512FR-5 H01L2924/09522G10 H01L2924/09523G11 H01L2924/096Cermets, i.e. composite material composed of ceramic and metallic materials H01L2924/097Glass-ceramics, e.g. devitrified glass H01L2924/09701Low temperature co-fired ceramic [LTCC] H01L2924/10Details of semiconductor or other solid state devices to be connected H01L2924/1011Structure H01L2924/1015Shape H01L2924/10155being other than a cuboid H01L2924/10156at the periphery H01L2924/10157at the active surface H01L2924/10158at the passive surface H01L2924/1016being a cuboid H01L2924/10161with a rectangular active surface H01L2924/10162with a square active surface H01L2924/1017being a sphere H01L2924/102Material of the semiconductor or solid state bodies H01L2924/1025Semiconducting materials H01L2924/10251Elemental semiconductors, i.e. Group IV H01L2924/10252Germanium [Ge] H01L2924/10253Silicon [Si] H01L2924/10254Diamond [C] H01L2924/1026Compound semiconductors H01L2924/1027IV H01L2924/10271Silicon-germanium [SiGe] H01L2924/10272Silicon Carbide [SiC] H01L2924/1032III-V H01L2924/10321Aluminium antimonide [AlSb] H01L2924/10322Aluminium arsenide [AlAs] H01L2924/10323Aluminium nitride [AlN] H01L2924/10324Aluminium phosphide [AlP] H01L2924/10325Boron nitride [BN], e.g. cubic, hexagonal, nanotube H01L2924/10326Boron phosphide [BP] H01L2924/10327Boron arsenide [BAs, B12As2] H01L2924/10328Gallium antimonide [GaSb] H01L2924/10329Gallium arsenide [GaAs] H01L2924/1033Gallium nitride [GaN] H01L2924/10331Gallium phosphide [GaP] H01L2924/10332Indium antimonide [InSb] H01L2924/10333Indium arsenide [InAs] H01L2924/10334Indium nitride [InN] H01L2924/10335Indium phosphide [InP] H01L2924/10336Aluminium gallium arsenide [AlGaAs] H01L2924/10337Indium gallium arsenide [InGaAs] H01L2924/10338Indium gallium phosphide [InGaP] H01L2924/10339Aluminium indium arsenide [AlInAs] H01L2924/1034Aluminium indium antimonide [AlInSb] H01L2924/10341Gallium arsenide nitride [GaAsN] H01L2924/10342Gallium arsenide phosphide [GaAsP] H01L2924/10343Gallium arsenide antimonide [GaAsSb] H01L2924/10344Aluminium gallium nitride [AlGaN] H01L2924/10345Aluminium gallium phosphide [AlGaP] H01L2924/10346Indium gallium nitride [InGaN] H01L2924/10347Indium arsenide antimonide [InAsSb] H01L2924/10348Indium gallium antimonide [InGaSb] H01L2924/10349Aluminium gallium indium phosphide [AlGaInP] H01L2924/1035Aluminium gallium arsenide phosphide [AlGaInP] H01L2924/10351Indium gallium arsenide phosphide [InGaAsP] H01L2924/10352Indium gallium arsenide antimonide [InGaAsSb] H01L2924/10353Indium arsenide antimonide phosphide [InAsSbP] H01L2924/10354Aluminium indium arsenide phosphide [AlInAsP] H01L2924/10355Aluminium gallium arsenide nitride [AlGaAsN] H01L2924/10356Indium gallium arsenide nitride [InGaAsN] H01L2924/10357Indium aluminium arsenide nitride [InAlAsN] H01L2924/10358Gallium arsenide antimonide nitride [GaAsSbN] H01L2924/10359Gallium indium nitride arsenide antimonide [GaInNAsSb] H01L2924/1036Gallium indium arsenide antimonide phosphide [GaInAsSbP] H01L2924/1037II-VI H01L2924/10371Cadmium selenide [CdSe] H01L2924/10372Cadmium sulfide [CdS] H01L2924/10373Cadmium telluride [CdTe] H01L2924/10375Zinc selenide [ZnSe] H01L2924/10376Zinc sulfide [ZnS] H01L2924/10377Zinc telluride [ZnTe] H01L2924/10378Cadmium zinc telluride, i.e. CZT [CdZnTe] H01L2924/10379Mercury cadmium telluride [HgZnTe] H01L2924/1038Mercury zinc telluride [HgZnSe] H01L2924/10381Mercury zinc selenide [HgZnSe] H01L2924/1042I-VII H01L2924/10421Cuprous chloride [CuCl] H01L2924/1047I-VI H01L2924/10471Copper sulfide [CuS] H01L2924/1052IV-VI H01L2924/10521Lead selenide [PbSe] H01L2924/10522Lead(II)sulfide [PbS] H01L2924/10523Lead telluride [PbTe] H01L2924/10524Tin sulfide [SnS, SnS2] H01L2924/10525Tin telluride [SnTe] H01L2924/10526Lead tin telluride [PbSnTe] H01L2924/10527Thallium tin telluride [Tl2SnTe5] H01L2924/10528Thallium germanium telluride [Tl2GeTe5] H01L2924/1057V-VI H01L2924/10571Bismuth telluride [Bi2Te3] H01L2924/1062II-V H01L2924/10621Cadmium phosphide [Cd3P2] H01L2924/10622Cadmium arsenide [Cd3As2] H01L2924/10623Cadmium antimonide [Cd3Sb2] H01L2924/10624Zinc phosphide [Zn3P2] H01L2924/10625Zinc arsenide [Zn3As2] H01L2924/10626Zinc antimonide [Zn3Sb2] H01L2924/1067Oxide H01L2924/10671Titanium dioxide, anatase, rutile, brookite [TiO2] H01L2924/10672Copper(I)oxide [Cu2O] H01L2924/10673Copper(II)oxide [CuO] H01L2924/10674Uranium dioxide [UO2] H01L2924/10675Uranium trioxide [UO3] H01L2924/10676Bismuth trioxide [Bi2O3] H01L2924/10677Tin dioxide [SnO2] H01L2924/10678Barium titanate [BaTiO3] H01L2924/10679Strontium titanate [SrTiO3] H01L2924/1068Lithium niobate [LiNbO3] H01L2924/10681Lanthanum copper oxide [La2CuO4] H01L2924/1072Layered H01L2924/10721Lead(II)iodide [PbI2] H01L2924/10722Molybdenum disulfide [MoS2] H01L2924/10723Gallium selenide [GaSe] H01L2924/10724Tin sulfide [SnS] H01L2924/10725Bismuth sulfide [Bi2S3] H01L2924/1077Magnetic diluted [DMS] H01L2924/10771Gallium manganese arsenide [GaMnAs] H01L2924/10772Indium manganese arsenide [InMnAs] H01L2924/10773Cadmium manganese telluride [CdMnTe] H01L2924/10774Lead manganese telluride [PbMnTe] H01L2924/10775Lanthanum calcium manganate [La0.7Ca0.3MnO3] H01L2924/10776Iron(II)oxide [FeO] H01L2924/10777Nickel(II)oxide [NiO] H01L2924/10778Europium(II)oxide [EuO] H01L2924/10779Europium(II)sulfide [EuS] H01L2924/1078Chromium(III)bromide [CrBr3] H01L2924/1082Other H01L2924/10821Copper indium gallium selenide, CIGS [Cu[In,Ga]Se2] H01L2924/10822Copper zinc tin sulfide, CZTS [Cu2ZnSnS4] H01L2924/10823Copper indium selenide, CIS [CuInSe2] H01L2924/10824Silver gallium sulfide [AgGaS2] H01L2924/10825Zinc silicon phosphide [ZnSiP2] H01L2924/10826Arsenic selenide [As2S3] H01L2924/10827Platinum silicide [PtSi] H01L2924/10828Bismuth(III)iodide [BiI3] H01L2924/10829Mercury(II)iodide [HgI2] H01L2924/1083Thallium(I)bromide [TlBr] H01L2924/10831Selenium [Se] H01L2924/10832Silver sulfide [Ag2S] H01L2924/10833Iron disulfide [FeS2] H01L2924/11Device type H01L2924/12Passive devices, e.g. 2 terminal devices H01L2924/1203Rectifying Diode H01L2924/12031PIN diode H01L2924/12032Schottky diode H01L2924/12033Gunn diode H01L2924/12034Varactor H01L2924/12035Zener diode H01L2924/12036PN diode H01L2924/12037Cat's whisker diode H01L2924/12038Point contact H01L2924/1204Optical Diode H01L2924/12041LED H01L2924/12042LASER H01L2924/12043Photo diode H01L2924/12044OLED H01L2924/1205Capacitor H01L2924/1206Inductor H01L2924/1207Resistor H01L2924/13Discrete devices, e.g. 3 terminal devices H01L2924/1301Thyristor H01L2924/13011Anode Gate Thyristor [AGT] H01L2924/13013Bidirectional Control Thyristor [BCT] H01L2924/13014Breakover Diode [BOD] H01L2924/13015DIAC - Bidirectional trigger device H01L2924/13016Dynistor - Unidirectional switching device H01L2924/13017Shockley diode - Unidirectional trigger and switching device H01L2924/13018SIDAC - Bidirectional switching device H01L2924/13019Trisil, SIDACtor - Bidirectional protection devices H01L2924/1302GTO - Gate Turn-Off thyristor H01L2924/13021DB-GTO - Distributed Buffer Gate Turn-Off thyristor H01L2924/13022MA-GTO - Modified Anode Gate Turn-Off thyristor H01L2924/13023IGCT - Integrated Gate Commutated Thyristor H01L2924/13024LASCR - Light Activated SCR, or LTT - Light triggered thyristor H01L2924/13025Light Activated Semiconducting Switch [LASS] H01L2924/13026MCT - MOSFET Controlled Thyristor - It contains two additional FET structures for on/off control H01L2924/13027BRT - Base Resistance Controlled Thyristor H01L2924/13028RCT - Reverse Conducting Thyristor H01L2924/13029PUT or PUJT - Programmable Unijunction Transistor - A thyristor with gate on n-type layer near to the anode used as a functional replacement for unijunction transistor H01L2924/1303SCS - Silicon Controlled Switch or Thyristor Tetrode - A thyristor with both cathode and anode gates H01L2924/13032SITh - Static Induction Thyristor, or FCTh - Field Controlled Thyristor - containing a gate structure that can shut down anode current flow H01L2924/13033TRIAC - Triode for Alternating Current - A bidirectional switching device containing two thyristor structures with common gate contact H01L2924/13034Silicon Controlled Rectifier [SCR] H01L2924/13035Asymmetrical SCR [ASCR] H01L2924/1304Transistor H01L2924/1305Bipolar Junction Transistor [BJT] H01L2924/13051Heterojunction bipolar transistor [HBT] H01L2924/13052Schottky transistor H01L2924/13053Avalanche transistor H01L2924/13054Darlington transistor H01L2924/13055Insulated gate bipolar transistor [IGBT] H01L2924/13056Photo transistor H01L2924/1306Field-effect transistor [FET] H01L2924/13061Carbon nanotube field-effect transistor [CNFET] H01L2924/13062Junction field-effect transistor [JFET] H01L2924/13063Metal-Semiconductor Field-Effect Transistor [MESFET] H01L2924/13064High Electron Mobility Transistor [HEMT, HFET [heterostructure FET], MODFET] H01L2924/13066Inverted-T field effect transistor [ITFET] H01L2924/13067FinFET, source/drain region shapes fins on the silicon surface H01L2924/13068Fast-reverse epitaxial diode field-effect transistor [FREDFET] H01L2924/13069Thin film transistor [TFT] H01L2924/1307Organic Field-Effect Transistor [OFET] H01L2924/13071Ballistic transistor H01L2924/13072Sensor FET H01L2924/13073ion-sensitive field-effect transistor [ISFET] H01L2924/13074Electrolyte-oxide-semiconductor field effect transistor [EOSFET], e.g. Neurochip H01L2924/13075Deoxyribonucleic acid field-effect transistor [DNAFET] H01L2924/13076DEPFET H01L2924/13078Unijunction transistors H01L2924/13079Single-electron transistors [SET] H01L2924/1308Nanofluidic transistor H01L2924/13081Multigate devices H01L2924/13082Tetrode transistor H01L2924/13083Pentode transistor H01L2924/13084Trigate transistor H01L2924/13085Dual gate FETs H01L2924/13086Junctionless Nanowire Transistor [JNT] H01L2924/13087Vertical-Slit Field-Effect Transistor [VeSFET] H01L2924/13088Graphene Nanoribbon Field-Effect Transistor [GNRFET] H01L2924/13089Nanoparticle Organic Memory Field-Effect Transistor [NOMFET] H01L2924/1309Modulation-Doped Field Effect Transistor [MODFET] H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET] H01L2924/13092Dual Gate Metal-Oxide-Semiconductor Field-Effect Transistor [DGMOSFET] H01L2924/14Integrated circuits H01L2924/141Analog devices H01L2924/142HF devices H01L2924/1421RF devices H01L2924/14211Voltage-controlled oscillator [VCO] H01L2924/14215Low-noise amplifier [LNA] H01L2924/1422Mixer H01L2924/14221Electronic mixer H01L2924/14222Frequency mixer H01L2924/1423Monolithic Microwave Integrated Circuit [MMIC] H01L2924/1424Operational amplifier H01L2924/1425Converter H01L2924/14251Frequency converter H01L2924/14252Voltage converter H01L2924/14253Digital-to-analog converter [DAC] H01L2924/1426Driver H01L2924/1427Voltage regulator [VR] H01L2924/143Digital devices H01L2924/1431Logic devices H01L2924/1432Central processing unit [CPU] H01L2924/1433Application-specific integrated circuit [ASIC] H01L2924/14335Digital signal processor [DSP] H01L2924/1434Memory H01L2924/1435Random access memory [RAM] H01L2924/1436Dynamic random-access memory [DRAM] H01L2924/14361Synchronous dynamic random access memory [SDRAM] H01L2924/14362RAS Only Refresh [ROR] H01L2924/14363CAS before RAS refresh [CBR] H01L2924/14364Multibank DRAM [MDRAM] H01L2924/14365Video DRAM [VRAM] H01L2924/14366Window DRAM [WRAM] H01L2924/14367Fast page mode DRAM [FPM DRAM] H01L2924/14368Extended data out DRAM [EDO DRAM] H01L2924/14369Burst EDO DRAM [BEDO DRAM] H01L2924/1437Static random-access memory [SRAM] H01L2924/1438Flash memory H01L2924/1441Ferroelectric RAM [FeRAM or FRAM] H01L2924/1442Synchronous graphics RAM [SGRAM] H01L2924/1443Non-volatile random-access memory [NVRAM] H01L2924/1444PBRAM H01L2924/145Read-only memory [ROM] H01L2924/1451EPROM H01L2924/14511EEPROM H01L2924/1453PROM H01L2924/146Mixed devices H01L2924/1461MEMS H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected H01L2924/151Die mounting substrate H01L2924/1511Structure H01L2924/1515Shape H01L2924/15151the die mounting substrate comprising an aperture, e.g. for underfilling, outgassing, window type wire connections H01L2924/15153the die mounting substrate comprising a recess for hosting the device H01L2924/15155the shape of the recess being other than a cuboid H01L2924/15156Side view H01L2924/15157Top view H01L2924/15158the die mounting substrate being other than a cuboid H01L2924/15159Side view H01L2924/15162Top view H01L2924/15165Monolayer substrate H01L2924/1517Multilayer substrate H01L2924/15172Fan-out arrangement of the internal vias H01L2924/15173in a single layer of the multilayer substrate H01L2924/15174in different layers of the multilayer substrate H01L2924/15182Fan-in arrangement of the internal vias H01L2924/15183in a single layer of the multilayer substrate H01L2924/15184in different layers of the multilayer substrate H01L2924/15192Resurf arrangement of the internal vias H01L2924/152Disposition H01L2924/153Connection portion H01L2924/1531the connection portion being formed only on the surface of the substrate opposite to the die mounting surface H01L2924/15311being a ball array, e.g. BGA H01L2924/15312being a pin array, e.g. PGA H01L2924/15313being a land array, e.g. LGA H01L2924/1532the connection portion being formed on the die mounting surface of the substrate H01L2924/15321being a ball array, e.g. BGA H01L2924/15322being a pin array, e.g. PGA H01L2924/15323being a land array, e.g. LGA H01L2924/1533the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate H01L2924/15331being a ball array, e.g. BGA H01L2924/15332being a pin array, e.g. PGA H01L2924/15333being a land array, e.g. LGA H01L2924/156Material H01L2924/157with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2924/15701the principal constituent melting at a temperature of less than 400 C H01L2924/15717the principal constituent melting at a temperature of greater than or equal to 400 C and less than 950 C H01L2924/15724Aluminium [Al] as principal constituent H01L2924/15738the principal constituent melting at a temperature of greater than or equal to 950 C and less than 1550 C H01L2924/15747Copper [Cu] as principal constituent H01L2924/1576Iron [Fe] as principal constituent H01L2924/15763the principal constituent melting at a temperature of greater than 1550 C H01L2924/15786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2924/1579with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2924/15791The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2924/15793with a principal constituent of the material being a solid not provided for in groups H01L2924/157 - H01L2924/15791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2924/15798with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2924/161Cap H01L2924/1611Structure H01L2924/1615Shape H01L2924/16151Cap comprising an aperture, e.g. for pressure control, encapsulation H01L2924/16152Cap comprising a cavity for hosting the device, e.g. U-shaped cap H01L2924/16153Cap enclosing a plurality of side-by-side cavities [e.g. E-shaped cap] H01L2924/1616Cavity shape H01L2924/1617Cavity coating H01L2924/16171Material H01L2924/16172with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2924/16173with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2924/16174Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L2224/16175 H01L2924/16175Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2924/16176with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2924/16177The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2924/16178with a principal constituent of the material being a solid not provided for in groups H01L2924/157 - H01L2924/15791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2924/16179with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2924/1619Cavity coating shape H01L2924/16195Flat cap [not enclosing an internal cavity] H01L2924/16196Cap forming a cavity, e.g. being a curved metal foil H01L2924/162Disposition H01L2924/16235Connecting to a semiconductor or solid-state bodies, i.e. cap-to-chip H01L2924/16251Connecting to an item not being a semiconductor or solid-state body, e.g. cap-to-substrate H01L2924/1626Cap-in-cap assemblies H01L2924/1627stacked type assemblies, e.g. stacked multi-cavities H01L2924/163Connection portion, e.g. seal H01L2924/1631Structure H01L2924/16315Shape H01L2924/1632Disposition H01L2924/164Material H01L2924/165with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2924/16586with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2924/16587Ceramics, e.g. crystalline carbides, nitrides or oxides H01L2924/16588Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2924/1659with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2924/16593with a principal constituent of the material being a solid not provided for in groups H01L2924/157 - H01L2924/15791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2924/16598with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2924/166Material H01L2924/167with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2924/16701the principal constituent melting at a temperature of less than 400 C H01L2924/16717the principal constituent melting at a temperature of greater than or equal to 400 C and less than 950 C H01L2924/16724Aluminium [Al] as principal constituent H01L2924/16738the principal constituent melting at a temperature of greater than or equal to 950 C and less than 1550 C H01L2924/16747Copper [Cu] as principal constituent H01L2924/1676Iron [Fe] as principal constituent H01L2924/16763the principal constituent melting at a temperature of greater than 1550 C H01L2924/16786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2924/16787Ceramics, e.g. crystalline carbides, nitrides or oxides H01L2924/16788Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2924/1679with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2924/16791The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2924/16793with a principal constituent of the material being a solid not provided for in groups H01L2924/167 - H01L2924/16791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2924/16798with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2924/171Frame H01L2924/1711Structure H01L2924/1715Shape H01L2924/17151Frame comprising an aperture, e.g. for pressure control, encapsulation H01L2924/172Disposition H01L2924/173Connection portion, e.g. seal H01L2924/176Material H01L2924/177with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof H01L2924/17701the principal constituent melting at a temperature of less than 400 C H01L2924/17717the principal constituent melting at a temperature of greater than or equal to 400 C and less than 950 C H01L2924/17724Aluminium [Al] as principal constituent H01L2924/17738the principal constituent melting at a temperature of greater than or equal to 950 C and less than 1550 C H01L2924/17747Copper [Cu] as principal constituent H01L2924/1776Iron [Fe] as principal constituent H01L2924/17763the principal constituent melting at a temperature of greater than 1550 C H01L2924/17786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2924/17787Ceramics, e.g. crystalline carbides, nitrides or oxides H01L2924/17788Glasses, e.g. amorphous oxides, nitrides or fluorides H01L2924/1779with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy H01L2924/17791The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene H01L2924/17793with a principal constituent of the material being a solid not provided for in groups H01L2924/177 - H01L2924/17791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond H01L2924/17798with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams H01L2924/181Encapsulation H01L2924/1811Structure H01L2924/1815Shape H01L2924/1816Exposing the passive side of the semiconductor or solid-state body H01L2924/18161of a flip chip H01L2924/18162of a chip with build-up interconnect H01L2924/18165of a wire bonded chip H01L2924/182Disposition H01L2924/183Connection portion, e.g. seal H01L2924/18301being an anchoring portion, i.e. mechanical interlocking between the encapsulation resin and another package part H01L2924/186Material H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected H01L2924/1901Structure H01L2924/19011including integrated passive components H01L2924/19015including thin film passive components H01L2924/1902including thick film passive components H01L2924/1903including wave guides H01L2924/19031being a strip line type H01L2924/19032being a microstrip line type H01L2924/19033being a coplanar line type H01L2924/19038being a hybrid line type H01L2924/19039impedance transition between different types of wave guides H01L2924/1904Component type H01L2924/19041being a capacitor H01L2924/19042being an inductor H01L2924/19043being a resistor H01L2924/1905Shape H01L2924/19051Impedance matching structure [e.g. balun] H01L2924/191Disposition H01L2924/19101of discrete passive components H01L2924/19102in a stacked assembly with the semiconductor or solid state device H01L2924/19103interposed between the semiconductor or solid-state device and the die mounting substrate, i.e. chip-on-passive H01L2924/19104on the semiconductor or solid-state device, i.e. passive-on-chip H01L2924/19105in a side-by-side arrangement on a common die mounting substrate H01L2924/19106in a mirrored arrangement on two different side of a common die mounting substrate H01L2924/19107off-chip wires H01L2924/20Parameters H01L2924/201Temperature ranges H01L2924/20101Temperature range T<0 C, T<273.15 K H01L2924/20102Temperature range 0 C=<T<60 C, 273.15 K =<T< 333.15K H01L2924/20103Temperature range 60 C=<T<100 C, 333.15 K =< T< 373.15K H01L2924/20104Temperature range 100 C=<T<150 C, 373.15 K =< T < 423.15K H01L2924/20105Temperature range 150 C=<T<200 C, 423.15 K =< T < 473.15K H01L2924/20106Temperature range 200 C=<T<250 C, 473.15 K =<T < 523.15K H01L2924/20107Temperature range 250 C=<T<300 C, 523.15K =<T< 573.15K H01L2924/20108Temperature range 300 C=<T<350 C, 573.15K =<T< 623.15K H01L2924/20109Temperature range 350 C=<T<400 C, 623.15K =<T< 673.15K H01L2924/2011Temperature range 400 C=<T<450 C, 673.15K =<T< 723.15K H01L2924/20111Temperature range 450 C=<T<500 C, 723.15K =<T< 773.15K H01L2924/202Electromagnetic wavelength ranges [W] H01L2924/20201Gamma radiation, i.e. wavelength less than 0.01 nm H01L2924/20202X-ray radiation, i.e. wavelength 0.01 to 10 nm H01L2924/2021Ultraviolet radiation H01L2924/20211UV-C 100=<W<280 nm H01L2924/20212UV-B 280=<W<315 nm H01L2924/20213UV-A 315=<W<400 nm H01L2924/2024Visible spectrum wavelength 390=<W<700 nm, i.e. 400-790 THz H01L2924/2026Infrared radiation 700=<W<3000 nm H01L2924/20261IR-A 700=<W<1400 nm, i.e. 215 THz-430 THz H01L2924/20262IR-B 1400=<W<3000 nm, i.e. 100THz-215 THz H01L2924/20263IR-C 3000 nm =<W<1 mm, i.e. 300 GHz-100THz H01L2924/2027Radio 1 mm - km 300 GHz - 3 Hz H01L2924/20271Microwave radiation 1 mm - 1 meter, i..e 300 GHz - 300 MHz H01L2924/203Ultrasonic frequency ranges, i.e. KHz H01L2924/20301Ultrasonic frequency [f] f<25 kHz H01L2924/20302Ultrasonic frequency [f] 25 Khz=<f< 50 KHz H01L2924/20303Ultrasonic frequency [f] 50 Khz=<f< 75 KHz H01L2924/20304Ultrasonic frequency [f] 75 Khz=<f< 100 KHz H01L2924/20305Ultrasonic frequency [f] 100 Khz=<f< 125 KHz H01L2924/20306Ultrasonic frequency [f] 125 Khz=<f< 150 KHz H01L2924/20307Ultrasonic frequency [f] 150 Khz=<f< 175 KHz H01L2924/20308Ultrasonic frequency [f] 175 Khz=<f< 200 KHz H01L2924/20309Ultrasonic frequency [f] f>=200 KHz H01L2924/206Length ranges H01L2924/2064larger or equal to 1 micron less than 100 microns H01L2924/20641larger or equal to 100 microns less than 200 microns H01L2924/20642larger or equal to 200 microns less than 300 microns H01L2924/20643larger or equal to 300 microns less than 400 microns H01L2924/20644larger or equal to 400 microns less than 500 microns H01L2924/20645larger or equal to 500 microns less than 600 microns H01L2924/20646larger or equal to 600 microns less than 700 microns H01L2924/20647larger or equal to 700 microns less than 800 microns H01L2924/20648larger or equal to 800 microns less than 900 microns H01L2924/20649larger or equal to 900 microns less than 1000 microns H01L2924/2065larger or equal to 1000 microns less than 1500 microns H01L2924/20651larger or equal to 1500 microns less than 2000 microns H01L2924/20652larger or equal to 2000 microns less than 2500 microns H01L2924/20653larger or equal to 2500 microns less than 3000 microns H01L2924/20654larger or equal to 3000 microns less than 4000 microns H01L2924/20655larger or equal to 4000 microns less than 5000 microns H01L2924/20656larger or equal to 5000 microns less than 6000 microns H01L2924/20657larger or equal to 6000 microns less than 7000 microns H01L2924/20658larger or equal to 7000 microns less than 8000 microns H01L2924/207Diameter ranges H01L2924/2075larger or equal to 1 micron less than 10 microns H01L2924/20751larger or equal to 10 microns less than 20 microns H01L2924/20752larger or equal to 20 microns less than 30 microns H01L2924/20753larger or equal to 30 microns less than 40 microns H01L2924/20754larger or equal to 40 microns less than 50 microns H01L2924/20755larger or equal to 50 microns less than 60 microns H01L2924/20756larger or equal to 60 microns less than 70 microns H01L2924/20757larger or equal to 70 microns less than 80 microns H01L2924/20758larger or equal to 80 microns less than 90 microns H01L2924/20759larger or equal to 90 microns less than 100 microns H01L2924/2076equal to or larger than 100 microns H01L2924/30Technical effects H01L2924/301Electrical effects H01L2924/30101Resistance H01L2924/30105Capacitance H01L2924/30107Inductance H01L2924/3011Impedance H01L2924/30111matching H01L2924/302Electrostatic H01L2924/30201Charge H01L2924/30205Discharge H01L2924/3025Electromagnetic shielding H01L2924/35Mechanical effects H01L2924/351Thermal stress H01L2924/3511Warping H01L2924/3512Cracking H01L2924/35121Peeling or delaminating H01L2924/36Material effects H01L2924/364Polymers H01L2924/3641Outgassing H01L2924/365Metallurgical effects H01L2924/3651Formation of intermetallics H01L2924/36511Purple plague H01L2924/3656Formation of Kirkendall voids H01L2924/37Effects of the manufacturing process H01L2924/37001Yield H01L2924/37002Shelf life H01L2924/3701increased through put H01L2924/38Effects and problems related to the device integration H01L2924/381Pitch distance H01L2924/384Bump effects H01L2924/3841Solder bridging H01L2924/386Wire effects H01L2924/3861Sag H01L2924/3862Sweep H01L2924/40Details of apparatuses used for either manufacturing connectors or connecting the semiconductor or solid-state body H01L2924/401LASER H01L2924/40101Mode H01L2924/40102being pulsed H01L2924/40103being continous H01L2924/40105Beam details H01L2924/4015Shape H01L2924/402Type H01L2924/40201being a chemical H01L2924/40202Deuterium Flouride [DF] LASER H01L2924/40203Hydrogen Flouride [HF] LASER H01L2924/40207Dye laser H01L2924/4025being a gas H01L2924/40251argon-ion LASER H01L2924/40252CO2 LASER H01L2924/40253HeAg LASER H01L2924/40254HeNe LASER H01L2924/40255NeCu LASER H01L2924/403being an Excimer H01L2924/40301ArF LASER H01L2924/40302F2 LASER H01L2924/40303KrCl LASER H01L2924/40304KrF LASER H01L2924/40305XeCl LASER H01L2924/40306XeF LASER H01L2924/4035being a fiber hosted LASER H01L2924/404being a solid state H01L2924/40401Free electron LASER H01L2924/40402Photonic crystal LASER H01L2924/40403Fiber solid state LASER H01L2924/40404Yttrium Aluminium Garnet Nd:YAG LASER H01L2924/40405Yttrium Lithium Flouride Nd:YLF LASER H01L2924/40406Ruby LASER H01L2924/40407Yb:YAG LASER H01L2924/405Wavelength H01L2924/40501UV spectrum H01L2924/40502Visible spectrum H01L2924/40503IR spectrum H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups H01L2933/0008Processes H01L2933/0016relating to electrodes H01L2933/0025relating to coatings H01L2933/0033relating to semiconductor body packages H01L2933/0041relating to wavelength conversion elements H01L2933/005relating to encapsulations H01L2933/0058relating to optical field-shaping elements H01L2933/0066relating to arrangements for conducting electric current to or from the semiconductor body H01L2933/0075relating to heat extraction or cooling elements H01L2933/0083Periodic patterns for optical field-shaping in or on the semiconductor body or semiconductor body package, e.g. photonic bandgap structures H01L2933/0091Scattering means in or on the semiconductor body or semiconductor body package H01L33/22 takes precedence