You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
ZSI_Reconnect_China/PATSTAT/CPC_data/CPCSchemeXML202302/cpc-scheme-C25D.xml

211 lines
111 KiB
XML

<?xml version="1.0" encoding="UTF-8"?>
<class-scheme publication-date="2023-02-01" scheme-type="cpc" publication-type="official">
<classification-item breakdown-code="false" not-allocatable="true" level="5" additional-only="false" sort-key="C25D" definition-exists="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D</classification-symbol><class-title date-revised="2020-08-01"><title-part><text>PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS</text></title-part><title-part><text>ELECTROFORMING</text></title-part><title-part><text>APPARATUS THEREFOR</text></title-part></class-title><notes-and-warnings date-revised="2013-01-01"><note type="warning"><note-paragraph warning-type="ipc-not-used">The following IPC groups are not in the CPC scheme. The subject matter for these IPC groups is classified in the following CPC groups: <table><row><entry><class-ref scheme="ipc">C25D2/00</class-ref></entry><entry> covered by </entry><entry><class-ref scheme="cpc">B23K28/006</class-ref></entry></row><row><entry><class-ref scheme="ipc">C25D5/24</class-ref></entry><entry> covered by </entry><entry><class-ref scheme="cpc">C25D5/34</class-ref></entry></row><row><entry><class-ref scheme="ipc">C25D5/26</class-ref></entry><entry> covered by </entry><entry><class-ref scheme="cpc">C25D5/36</class-ref></entry></row><row><entry><class-ref scheme="ipc">C25D5/28</class-ref></entry><entry> covered by </entry><entry><class-ref scheme="cpc">C25D5/38</class-ref></entry></row><row><entry><class-ref scheme="ipc">C25D5/30</class-ref></entry><entry> covered by </entry><entry><class-ref scheme="cpc">C25D5/42</class-ref>, <class-ref scheme="cpc">C25D5/44</class-ref></entry></row><row><entry><class-ref scheme="ipc">C25D5/32</class-ref></entry><entry> covered by </entry><entry><class-ref scheme="cpc">C25D5/46</class-ref></entry></row><row><entry><class-ref scheme="ipc">C25D19/00</class-ref></entry><entry> covered by </entry><entry><class-ref scheme="cpc">C25D17/00</class-ref></entry></row></table></note-paragraph><note-paragraph>In this subclass non-limiting references (in the sense of paragraph 39 of the Guide to the IPC) may still be displayed in the scheme.</note-paragraph></note></notes-and-warnings>
<classification-item breakdown-code="false" not-allocatable="true" level="6" additional-only="false" sort-key="C25D1/00" date-revised="2013-01-01" status="published"><classification-symbol>C25D1/00</classification-symbol>
<classification-item breakdown-code="false" not-allocatable="false" level="7" additional-only="false" sort-key="C25D1/00" definition-exists="true" ipc-concordant="C25D1/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D1/00</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Electroforming</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D1/003" definition-exists="true" ipc-concordant="C25D1/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D1/003</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>3D structures, e.g. superposed patterned layers</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D1/006" definition-exists="true" ipc-concordant="C25D1/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2015-10-01" status="published"><classification-symbol>C25D1/006</classification-symbol><class-title date-revised="2015-10-01"><title-part><CPC-specific-text><text>Nanostructures, e.g. using aluminium anodic oxidation templates [AAO]</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D1/02" definition-exists="false" ipc-concordant="C25D1/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D1/02</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Tubes</text></title-part><title-part><text>Rings</text></title-part><title-part><text>Hollow bodies</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D1/04" definition-exists="false" ipc-concordant="C25D1/04" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D1/04</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Wires</text></title-part><title-part><text>Strips</text></title-part><title-part><text>Foils</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D1/06" definition-exists="false" ipc-concordant="C25D1/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D1/06</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Wholly-metallic mirrors</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D1/08" definition-exists="true" ipc-concordant="C25D1/08" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D1/08</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Perforated or foraminous objects, e.g. sieves </text><reference><text><class-ref scheme="cpc">C25D1/10</class-ref> takes precedence</text></reference></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D1/10" definition-exists="true" ipc-concordant="C25D1/10" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D1/10</classification-symbol><class-title date-revised="2020-08-01"><title-part><text>Moulds</text></title-part><title-part><text>Masks</text></title-part><title-part><text>Masterforms</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D1/12" definition-exists="true" ipc-concordant="C25D1/12" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D1/12</classification-symbol><class-title date-revised="2020-08-01"><title-part><text>by electrophoresis</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D1/14" definition-exists="false" ipc-concordant="C25D1/14" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D1/14</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of inorganic material</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D1/16" definition-exists="false" ipc-concordant="C25D1/16" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D1/16</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Metals</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D1/18" definition-exists="false" ipc-concordant="C25D1/18" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D1/18</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of organic material</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D1/20" definition-exists="false" ipc-concordant="C25D1/20" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D1/20</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Separation of the formed objects from the electrodes </text><CPC-specific-text><text>with no destruction of said electrodes</text></CPC-specific-text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D1/22" definition-exists="false" ipc-concordant="C25D1/22" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D1/22</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Separating compounds</text></title-part></class-title></classification-item></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="7" additional-only="false" sort-key="C25D3/00" definition-exists="true" ipc-concordant="C25D3/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/00</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Electroplating: Baths therefor</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D3/02" definition-exists="true" ipc-concordant="C25D3/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2016-05-01" status="published"><classification-symbol>C25D3/02</classification-symbol><class-title date-revised="2016-05-01"><title-part><text>from solutions </text><reference><text><class-ref scheme="cpc">C25D5/34</class-ref>&#160;-&#160;<class-ref scheme="cpc">C25D5/46</class-ref> take precedence</text></reference></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/04" definition-exists="false" ipc-concordant="C25D3/04" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/04</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of chromium</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/06" definition-exists="false" ipc-concordant="C25D3/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/06</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>from solutions of trivalent chromium</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/08" definition-exists="false" ipc-concordant="C25D3/08" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/08</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Deposition of black chromium </text><CPC-specific-text><text>, e.g. hexavalent chromium, CrVI</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/10" definition-exists="false" ipc-concordant="C25D3/10" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/10</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>characterised by the organic bath constituents used</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/12" definition-exists="false" ipc-concordant="C25D3/12" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D3/12</classification-symbol><class-title date-revised="2020-08-01"><title-part><text>of nickel or cobalt</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/14" definition-exists="false" ipc-concordant="C25D3/14" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/14</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>from baths containing acetylenic or heterocyclic compounds</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="11" additional-only="false" sort-key="C25D3/16" definition-exists="false" ipc-concordant="C25D3/16" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/16</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Acetylenic compounds</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="11" additional-only="false" sort-key="C25D3/18" definition-exists="false" ipc-concordant="C25D3/18" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/18</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Heterocyclic compounds</text></title-part></class-title></classification-item></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/20" definition-exists="false" ipc-concordant="C25D3/20" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/20</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of iron</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/22" definition-exists="false" ipc-concordant="C25D3/22" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/22</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of zinc</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/24" definition-exists="false" ipc-concordant="C25D3/24" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/24</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>from cyanide baths</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/26" definition-exists="false" ipc-concordant="C25D3/26" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/26</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of cadmium</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/28" definition-exists="false" ipc-concordant="C25D3/28" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/28</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>from cyanide baths</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/30" definition-exists="false" ipc-concordant="C25D3/30" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/30</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of tin</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/32" definition-exists="false" ipc-concordant="C25D3/32" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/32</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>characterised by the organic bath constituents used</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/34" definition-exists="false" ipc-concordant="C25D3/34" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/34</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of lead</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/36" definition-exists="false" ipc-concordant="C25D3/36" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/36</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>characterised by the organic bath constituents used</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/38" definition-exists="false" ipc-concordant="C25D3/38" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/38</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of copper</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/40" definition-exists="true" ipc-concordant="C25D3/40" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/40</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>from cyanide baths </text><CPC-specific-text><text>, e.g. with Cu+</text></CPC-specific-text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/42" definition-exists="false" ipc-concordant="C25D3/42" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/42</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of light metals</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/44" definition-exists="false" ipc-concordant="C25D3/44" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/44</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Aluminium</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/46" definition-exists="false" ipc-concordant="C25D3/46" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/46</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of silver</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/48" definition-exists="false" ipc-concordant="C25D3/48" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/48</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of gold</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/50" definition-exists="false" ipc-concordant="C25D3/50" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/50</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of platinum group metals</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/52" definition-exists="false" ipc-concordant="C25D3/52" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/52</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>characterised by the organic bath constituents used</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/54" definition-exists="false" ipc-concordant="C25D3/54" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2016-05-01" status="published"><classification-symbol>C25D3/54</classification-symbol><class-title date-revised="2016-05-01"><title-part><text>of metals not provided for in groups <class-ref scheme="cpc">C25D3/04</class-ref>&#160;-&#160;<class-ref scheme="cpc">C25D3/50</class-ref></text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/56" definition-exists="true" ipc-concordant="C25D3/56" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/56</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of alloys</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/562" definition-exists="false" ipc-concordant="C25D3/56" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D3/562</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>containing more than 50% by weight of iron or nickel or cobalt</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/565" definition-exists="false" ipc-concordant="C25D3/56" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/565</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>containing more than 50% by weight of zinc</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/567" definition-exists="false" ipc-concordant="C25D3/56" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/567</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>containing more than 50% by weight of platinum group metals</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/58" definition-exists="false" ipc-concordant="C25D3/58" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/58</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>containing more than 50% by weight of copper</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/60" definition-exists="true" ipc-concordant="C25D3/60" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D3/60</classification-symbol><class-title date-revised="2020-08-01"><title-part><text>containing more than 50% by weight of tin</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/62" definition-exists="false" ipc-concordant="C25D3/62" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/62</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>containing more than 50% by weight of gold</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D3/64" definition-exists="false" ipc-concordant="C25D3/64" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/64</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>containing more than 50% by weight of silver</text></title-part></class-title></classification-item></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D3/66" definition-exists="true" ipc-concordant="C25D3/66" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D3/66</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>from melts</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D3/665" definition-exists="true" ipc-concordant="C25D3/66" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-05-01" status="published"><classification-symbol>C25D3/665</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>from ionic liquids</text></CPC-specific-text></title-part></class-title></classification-item></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="7" additional-only="false" sort-key="C25D5/00" definition-exists="true" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/00</classification-symbol><class-title date-revised="2017-08-01"><title-part><text>Electroplating characterised by the process</text></title-part><title-part><text>Pretreatment or after-treatment of workpieces</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/003" definition-exists="true" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D5/003</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Electroplating using gases, e.g. pressure influence</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/007" definition-exists="false" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/007</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Electroplating using magnetic fields, e.g. magnets</text></CPC-specific-text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/009" definition-exists="false" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/009</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Deposition of ferromagnetic material</text></CPC-specific-text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/011" definition-exists="false" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/011</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Electroplating using electromagnetic wave irradiation </text><reference><text>using locally applied electromagnetic radiation <class-ref scheme="cpc">C25D5/024</class-ref></text></reference></CPC-specific-text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/013" definition-exists="false" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/013</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Wavelengths other than ultra-violet [UV], visible or infra-red [IR], e.g. X-rays or microwaves</text></CPC-specific-text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/02" definition-exists="false" ipc-concordant="C25D5/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/02</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Electroplating of selected surface areas</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/022" definition-exists="false" ipc-concordant="C25D5/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D5/022</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>using masking means</text></CPC-specific-text></title-part></class-title><notes-and-warnings><note type="warning"><note-paragraph warning-type="reclass-destination">Group <class-ref scheme="cpc">C25D5/022</class-ref> is incomplete pending reclassification of documents from group <class-ref scheme="cpc">C25D11/022</class-ref>.<br/>Groups <class-ref scheme="cpc">C25D11/022</class-ref> and <class-ref scheme="cpc">C25D5/022</class-ref> should be considered in order to perform a complete search.</note-paragraph></note></notes-and-warnings></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/024" definition-exists="false" ipc-concordant="C25D5/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2016-08-01" status="published"><classification-symbol>C25D5/024</classification-symbol><class-title date-revised="2016-08-01"><title-part><CPC-specific-text><text>using locally applied electromagnetic radiation, e.g. lasers</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/026" definition-exists="false" ipc-concordant="C25D5/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/026</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>using locally applied jets of electrolyte</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/028" definition-exists="false" ipc-concordant="C25D5/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/028</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>one side electroplating, e.g. substrate conveyed in a bath with inhibited background plating</text></CPC-specific-text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/04" definition-exists="true" ipc-concordant="C25D5/04" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/04</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Electroplating with moving electrodes</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/06" definition-exists="true" ipc-concordant="C25D5/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D5/06</classification-symbol><class-title date-revised="2020-08-01"><title-part><text>Brush or pad plating</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/08" definition-exists="true" ipc-concordant="C25D5/08" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/08</classification-symbol><class-title date-revised="2020-08-01"><title-part><text>Electroplating with moving electrolyte e.g. jet electroplating </text><CPC-specific-text><reference><text>using locally applied jets of electrolyte <class-ref scheme="cpc">C25D5/026</class-ref></text></reference></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/10" definition-exists="false" ipc-concordant="C25D5/10" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/10</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Electroplating with more than one layer of the same or of different metals </text><reference><text>for bearings <class-ref scheme="cpc">C25D7/10</class-ref></text></reference></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/12" definition-exists="false" ipc-concordant="C25D5/12" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/12</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>at least one layer being of nickel or chromium</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D5/14" definition-exists="false" ipc-concordant="C25D5/14" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/14</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>two or more layers being of nickel or chromium, e.g. duplex or triplex layers</text></title-part></class-title></classification-item></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/16" definition-exists="true" ipc-concordant="C25D5/16" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/16</classification-symbol><class-title date-revised="2020-08-01"><title-part><text>Electroplating with layers of varying thickness</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/18" definition-exists="false" ipc-concordant="C25D5/18" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/18</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Electroplating using modulated, pulsed or reversing current</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/20" definition-exists="false" ipc-concordant="C25D5/20" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/20</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Electroplating using ultrasonics </text><CPC-specific-text><text>, vibrations</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/22" definition-exists="false" ipc-concordant="C25D5/22" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/22</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Electroplating combined with mechanical treatment during the deposition</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/34" definition-exists="false" ipc-concordant="C25D5/34" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/34</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Pretreatment of metallic surfaces to be electroplated</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/36" definition-exists="false" ipc-concordant="C25D5/36" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/36</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of iron or steel</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/38" definition-exists="false" ipc-concordant="C25D5/38" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/38</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of refractory metals or nickel</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D5/40" definition-exists="false" ipc-concordant="C25D5/40" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/40</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Nickel</text></title-part><title-part><text>Chromium</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/42" definition-exists="false" ipc-concordant="C25D5/42" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/42</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of light metals</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D5/44" definition-exists="false" ipc-concordant="C25D5/44" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/44</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Aluminium</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/46" definition-exists="false" ipc-concordant="C25D5/46" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/46</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of actinides</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/48" definition-exists="false" ipc-concordant="C25D5/48" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/48</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>After-treatment of electroplated surfaces</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/50" definition-exists="false" ipc-concordant="C25D5/50" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/50</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>by heat-treatment</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D5/505" definition-exists="false" ipc-concordant="C25D5/50" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/505</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>of electroplated tin coatings, e.g. by melting</text></CPC-specific-text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/52" definition-exists="false" ipc-concordant="C25D5/52" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D5/52</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>by brightening or burnishing</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/54" definition-exists="true" ipc-concordant="C25D5/54" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D5/54</classification-symbol><class-title date-revised="2020-08-01"><title-part><text>Electroplating of non-metallic surfaces </text><reference><text><class-ref scheme="cpc">C25D7/12</class-ref> takes precedence</text></reference></title-part></class-title><notes-and-warnings><note type="warning"><note-paragraph warning-type="reclass-source">Group <class-ref scheme="cpc">C25D5/54</class-ref> is impacted by reclassification into group <class-ref scheme="cpc">C25D5/56</class-ref>.<br/>Groups <class-ref scheme="cpc">C25D5/54</class-ref> and <class-ref scheme="cpc">C25D5/56</class-ref> should be considered in order to perform a complete search.</note-paragraph></note></notes-and-warnings>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/56" definition-exists="true" ipc-concordant="C25D5/56" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D5/56</classification-symbol><class-title date-revised="2020-08-01"><title-part><text>of plastics</text></title-part></class-title><notes-and-warnings><note type="warning"><note-paragraph warning-type="reclass-destination">Group <class-ref scheme="cpc">C25D5/56</class-ref> is incomplete pending reclassification of documents from group <class-ref scheme="cpc">C25D5/54</class-ref>.<br/>Groups <class-ref scheme="cpc">C25D5/54</class-ref> and <class-ref scheme="cpc">C25D5/56</class-ref> should be considered in order to perform a complete search.</note-paragraph></note></notes-and-warnings></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/60" definition-exists="false" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/60</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Electroplating characterised by the structure or texture of the layers</text></CPC-specific-text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/605" definition-exists="false" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/605</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Surface topography of the layers, e.g. rough, dendritic or nodular layers</text></CPC-specific-text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D5/611" definition-exists="false" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/611</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Smooth layers</text></CPC-specific-text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/615" definition-exists="false" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/615</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Microstructure of the layers, e.g. mixed structure</text></CPC-specific-text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D5/617" definition-exists="false" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/617</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Crystalline layers</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D5/619" definition-exists="false" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/619</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Amorphous layers</text></CPC-specific-text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/623" definition-exists="false" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/623</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Porosity of the layers</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D5/625" definition-exists="false" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/625</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Discontinuous layers, e.g. microcracked layers</text></CPC-specific-text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/627" definition-exists="false" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/627</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Electroplating characterised by the visual appearance of the layers, e.g. colour, brightness or mat appearance</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D5/67" definition-exists="false" ipc-concordant="C25D5/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2022-05-01" status="published"><classification-symbol>C25D5/67</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Electroplating to repair workpiece</text></CPC-specific-text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="7" additional-only="false" sort-key="C25D7/00" definition-exists="true" ipc-concordant="C25D7/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D7/00</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Electroplating characterised by the article coated</text></title-part></class-title><notes-and-warnings><note type="warning"><note-paragraph warning-type="reclass-source">Group <class-ref scheme="cpc">C25D7/00</class-ref> is impacted by reclassification into group <class-ref scheme="cpc">C25D7/005</class-ref>.<br/>Groups <class-ref scheme="cpc">C25D7/00</class-ref> and <class-ref scheme="cpc">C25D7/005</class-ref> should be considered in order to perform a complete search.</note-paragraph></note></notes-and-warnings>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D7/001" definition-exists="false" ipc-concordant="C25D7/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-05-01" status="published"><classification-symbol>C25D7/001</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Magnets</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D7/003" definition-exists="false" ipc-concordant="C25D7/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D7/003</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Threaded pieces, e.g. bolts or nuts</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D7/005" definition-exists="true" ipc-concordant="C25D7/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D7/005</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Jewels; Clockworks; Coins</text></CPC-specific-text></title-part></class-title><notes-and-warnings><note type="warning"><note-paragraph warning-type="reclass-destination">Group <class-ref scheme="cpc">C25D7/005</class-ref> is incomplete pending reclassification of documents from group <class-ref scheme="cpc">C25D7/00</class-ref>.<br/>Groups <class-ref scheme="cpc">C25D7/00</class-ref> and <class-ref scheme="cpc">C25D7/005</class-ref> should be considered in order to perform a complete search.</note-paragraph></note></notes-and-warnings></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D7/006" definition-exists="false" ipc-concordant="C25D7/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/006</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Nanoparticles</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D7/008" definition-exists="false" ipc-concordant="C25D7/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/008</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Thermal barrier coatings</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D7/02" definition-exists="false" ipc-concordant="C25D7/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/02</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Slide fasteners</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D7/04" definition-exists="false" ipc-concordant="C25D7/04" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/04</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Tubes</text></title-part><title-part><text>Rings</text></title-part><title-part><text>Hollow bodies</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D7/06" definition-exists="true" ipc-concordant="C25D7/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/06</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Wires</text></title-part><title-part><text>Strips</text></title-part><title-part><text>Foils</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D7/0607" definition-exists="true" ipc-concordant="C25D7/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/0607</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Wires</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D7/0614" definition-exists="true" ipc-concordant="C25D7/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/0614</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Strips or foils</text></CPC-specific-text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D7/0621" definition-exists="false" ipc-concordant="C25D7/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/0621</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>In horizontal cells</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D7/0628" definition-exists="false" ipc-concordant="C25D7/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/0628</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>In vertical cells</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D7/0635" definition-exists="false" ipc-concordant="C25D7/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/0635</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>In radial cells</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D7/0642" definition-exists="false" ipc-concordant="C25D7/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/0642</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Anodes</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D7/065" definition-exists="false" ipc-concordant="C25D7/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/065</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Diaphragms</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D7/0657" definition-exists="false" ipc-concordant="C25D7/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/0657</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Conducting rolls</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D7/0664" definition-exists="false" ipc-concordant="C25D7/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/0664</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Isolating rolls</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D7/0671" definition-exists="false" ipc-concordant="C25D7/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/0671</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Selective plating</text></CPC-specific-text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="11" additional-only="false" sort-key="C25D7/0678" definition-exists="false" ipc-concordant="C25D7/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/0678</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>using masks</text></CPC-specific-text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D7/0685" definition-exists="false" ipc-concordant="C25D7/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/0685</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Spraying of electrolyte</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D7/0692" definition-exists="false" ipc-concordant="C25D7/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/0692</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Regulating the thickness of the coating</text></CPC-specific-text></title-part></class-title></classification-item></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D7/08" definition-exists="false" ipc-concordant="C25D7/08" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/08</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Mirrors</text></title-part><title-part><text>Reflectors</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D7/10" definition-exists="true" ipc-concordant="C25D7/10" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D7/10</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Bearings</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D7/12" definition-exists="true" ipc-concordant="C25D7/12" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D7/12</classification-symbol><class-title date-revised="2015-10-01"><title-part><text>Semiconductors</text></title-part></class-title><notes-and-warnings><note type="warning"><note-paragraph warning-type="reclass-source">Group <class-ref scheme="cpc">C25D7/12</class-ref> is impacted by reclassification into groups <class-ref scheme="cpc">C25D7/123</class-ref> and <class-ref scheme="cpc">C25D7/126</class-ref>.<br/>Groups <class-ref scheme="cpc">C25D7/12</class-ref>, <class-ref scheme="cpc">C25D7/123</class-ref>, and <class-ref scheme="cpc">C25D7/126</class-ref> should be considered in order to perform a complete search.</note-paragraph></note></notes-and-warnings>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D7/123" definition-exists="true" ipc-concordant="C25D7/12" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D7/123</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Semiconductors first coated with a seed layer or a conductive layer</text></CPC-specific-text></title-part></class-title><notes-and-warnings><note type="warning"><note-paragraph warning-type="reclass-destination">Group <class-ref scheme="cpc">C25D7/123</class-ref> is incomplete pending reclassification of documents from group <class-ref scheme="cpc">C25D7/12</class-ref>.<br/>Groups <class-ref scheme="cpc">C25D7/12</class-ref> and <class-ref scheme="cpc">C25D7/123</class-ref> should be considered in order to perform a complete search.</note-paragraph></note></notes-and-warnings>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D7/126" definition-exists="true" ipc-concordant="C25D7/12" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D7/126</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>for solar cells</text></CPC-specific-text></title-part></class-title><notes-and-warnings><note type="warning"><note-paragraph warning-type="reclass-destination">Group <class-ref scheme="cpc">C25D7/126</class-ref> is incomplete pending reclassification of documents from group <class-ref scheme="cpc">C25D7/12</class-ref>.<br/>Groups <class-ref scheme="cpc">C25D7/12</class-ref> and <class-ref scheme="cpc">C25D7/126</class-ref> should be considered in order to perform a complete search.</note-paragraph></note></notes-and-warnings></classification-item></classification-item></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="7" additional-only="false" sort-key="C25D9/00" definition-exists="true" ipc-concordant="C25D9/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D9/00</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Electrolytic coating other than with metals </text><reference><text><class-ref scheme="cpc">C25D11/00</class-ref>, <class-ref scheme="cpc">C25D15/00</class-ref> take precedence; electrophoretic coating <class-ref scheme="cpc">C25D13/00</class-ref></text></reference></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D9/02" definition-exists="true" ipc-concordant="C25D9/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D9/02</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>with organic materials</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D9/04" definition-exists="true" ipc-concordant="C25D9/04" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D9/04</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>with inorganic materials</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D9/06" definition-exists="true" ipc-concordant="C25D9/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D9/06</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>by anodic processes</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D9/08" definition-exists="true" ipc-concordant="C25D9/08" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D9/08</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>by cathodic processes</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D9/10" definition-exists="false" ipc-concordant="C25D9/10" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D9/10</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>on iron or steel</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D9/12" definition-exists="false" ipc-concordant="C25D9/12" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D9/12</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>on light metals</text></title-part></class-title></classification-item></classification-item></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="7" additional-only="false" sort-key="C25D11/00" definition-exists="true" ipc-concordant="C25D11/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/00</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Electrolytic coating by surface reaction, i.e. forming conversion layers</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D11/005" definition-exists="true" ipc-concordant="C25D11/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-05-01" status="published"><classification-symbol>C25D11/005</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Apparatus specially adapted for electrolytic conversion coating </text><reference><text>apparatus in general for electrolytic coating <class-ref scheme="cpc">C25D17/00</class-ref></text></reference></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D11/02" definition-exists="false" ipc-concordant="C25D11/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/02</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Anodisation</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D11/022" definition-exists="false" ipc-concordant="C25D11/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D11/022</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Anodisation on selected surface areas</text></CPC-specific-text></title-part></class-title><notes-and-warnings><note type="warning"><note-paragraph warning-type="reclass-source">Group <class-ref scheme="cpc">C25D11/022</class-ref> is impacted by reclassification into group <class-ref scheme="cpc">C25D5/022</class-ref>.<br/>Groups <class-ref scheme="cpc">C25D11/022</class-ref> and <class-ref scheme="cpc">C25D5/022</class-ref> should be considered in order to perform a complete search.</note-paragraph></note></notes-and-warnings></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D11/024" definition-exists="false" ipc-concordant="C25D11/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/024</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Anodisation under pulsed or modulated current or potential</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D11/026" definition-exists="false" ipc-concordant="C25D11/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2015-10-01" status="published"><classification-symbol>C25D11/026</classification-symbol><class-title date-revised="2015-10-01"><title-part><CPC-specific-text><text>Anodisation with spark discharge</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D11/028" definition-exists="false" ipc-concordant="C25D11/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/028</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Borodising,, i.e. borides formed electrochemically</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D11/04" definition-exists="false" ipc-concordant="C25D11/04" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/04</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of aluminium or alloys based thereon</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D11/045" definition-exists="false" ipc-concordant="C25D11/04" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/045</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>for forming AAO templates</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D11/06" definition-exists="false" ipc-concordant="C25D11/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/06</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>characterised by the electrolytes used</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="11" additional-only="false" sort-key="C25D11/08" definition-exists="false" ipc-concordant="C25D11/08" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/08</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>containing inorganic acids</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="11" additional-only="false" sort-key="C25D11/10" definition-exists="false" ipc-concordant="C25D11/10" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/10</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>containing organic acids</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D11/12" definition-exists="false" ipc-concordant="C25D11/12" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/12</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Anodising more than once, e.g. in different baths</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D11/14" definition-exists="false" ipc-concordant="C25D11/14" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/14</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Producing integrally coloured layers</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D11/16" definition-exists="true" ipc-concordant="C25D11/16" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/16</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Pretreatment </text><CPC-specific-text><text>, e.g. desmutting</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D11/18" definition-exists="true" ipc-concordant="C25D11/18" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2016-05-01" status="published"><classification-symbol>C25D11/18</classification-symbol><class-title date-revised="2016-05-01"><title-part><text>After-treatment, e.g. pore-sealing</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="11" additional-only="false" sort-key="C25D11/20" definition-exists="false" ipc-concordant="C25D11/20" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/20</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Electrolytic after-treatment</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="12" additional-only="false" sort-key="C25D11/22" definition-exists="false" ipc-concordant="C25D11/22" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/22</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>for colouring layers</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="11" additional-only="false" sort-key="C25D11/24" definition-exists="false" ipc-concordant="C25D11/24" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/24</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Chemical after-treatment</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="12" additional-only="false" sort-key="C25D11/243" definition-exists="false" ipc-concordant="C25D11/24" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/243</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>using organic dyestuffs</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="12" additional-only="false" sort-key="C25D11/246" definition-exists="false" ipc-concordant="C25D11/24" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/246</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>for sealing layers</text></CPC-specific-text></title-part></class-title></classification-item></classification-item></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D11/26" definition-exists="false" ipc-concordant="C25D11/26" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/26</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of refractory metals or alloys based thereon</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D11/28" definition-exists="false" ipc-concordant="C25D11/28" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/28</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of actinides or alloys based thereon</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D11/30" definition-exists="false" ipc-concordant="C25D11/30" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/30</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of magnesium or alloys based thereon</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D11/32" definition-exists="false" ipc-concordant="C25D11/32" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/32</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of semiconducting materials</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D11/34" definition-exists="false" ipc-concordant="C25D11/34" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2016-05-01" status="published"><classification-symbol>C25D11/34</classification-symbol><class-title date-revised="2016-05-01"><title-part><text>of metals or alloys not provided for in groups <class-ref scheme="cpc">C25D11/04</class-ref>&#160;-&#160;<class-ref scheme="cpc">C25D11/32</class-ref></text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D11/36" definition-exists="true" ipc-concordant="C25D11/36" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D11/36</classification-symbol><class-title date-revised="2020-08-01"><title-part><text>Phosphatising</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D11/38" definition-exists="true" ipc-concordant="C25D11/38" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D11/38</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Chromatising</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="7" additional-only="false" sort-key="C25D13/00" definition-exists="true" ipc-concordant="C25D13/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2016-05-01" status="published"><classification-symbol>C25D13/00</classification-symbol><class-title date-revised="2016-05-01"><title-part><text>Electrophoretic coating characterised by the process </text><reference><text><class-ref scheme="cpc">C25D15/00</class-ref> takes precedence; compositions for electrophoretic coating <class-ref scheme="cpc">C09D5/44</class-ref></text></reference></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D13/02" definition-exists="false" ipc-concordant="C25D13/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D13/02</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>with inorganic material</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D13/04" definition-exists="true" ipc-concordant="C25D13/04" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D13/04</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>with organic material</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D13/06" definition-exists="false" ipc-concordant="C25D13/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D13/06</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>with polymers </text><CPC-specific-text><reference><text>not used, <u>see</u> <class-ref scheme="cpc">C09D5/44</class-ref></text></reference></CPC-specific-text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D13/08" definition-exists="false" ipc-concordant="C25D13/08" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D13/08</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>by polymerisation <u>in situ</u> of monomeric materials </text><CPC-specific-text><reference><text>not used, <u>see</u> <class-ref scheme="cpc">C09D5/4476</class-ref></text></reference></CPC-specific-text></title-part></class-title></classification-item></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D13/10" definition-exists="false" ipc-concordant="C25D13/10" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D13/10</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>characterised by the additives used </text><CPC-specific-text><reference><text>not used, <u>see</u> <class-ref scheme="cpc">C09D5/448</class-ref></text></reference></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D13/12" definition-exists="true" ipc-concordant="C25D13/12" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D13/12</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>characterised by the article coated</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D13/14" definition-exists="false" ipc-concordant="C25D13/14" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D13/14</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Tubes</text></title-part><title-part><text>Rings</text></title-part><title-part><text>Hollow bodies</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D13/16" definition-exists="false" ipc-concordant="C25D13/16" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D13/16</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Wires</text></title-part><title-part><text>Strips</text></title-part><title-part><text>Foils</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D13/18" definition-exists="true" ipc-concordant="C25D13/18" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D13/18</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>using modulated, pulsed, or reversing current</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D13/20" definition-exists="false" ipc-concordant="C25D13/20" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2017-08-01" status="published"><classification-symbol>C25D13/20</classification-symbol><class-title date-revised="2017-08-01"><title-part><text>Pretreatment</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D13/22" definition-exists="true" ipc-concordant="C25D13/22" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D13/22</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Servicing or operating </text><CPC-specific-text><text>apparatus or multistep processes</text></CPC-specific-text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D13/24" definition-exists="false" ipc-concordant="C25D13/24" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D13/24</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Regeneration of process liquids</text></title-part></class-title><notes-and-warnings><note type="warning"><note-paragraph warning-type="reclass-source">Group <class-ref scheme="cpc">C25D13/24</class-ref> is impacted by reclassification into groups <class-ref scheme="cpc">C25D21/16</class-ref>, <class-ref scheme="cpc">C25D21/18</class-ref>, <class-ref scheme="cpc">C25D21/20</class-ref>, and <class-ref scheme="cpc">C25D21/22</class-ref>.<br/>All groups listed in this Warning should be considered in order to perform a complete search.</note-paragraph></note></notes-and-warnings></classification-item></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="7" additional-only="false" sort-key="C25D15/00" definition-exists="true" ipc-concordant="C25D15/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2015-10-01" status="published"><classification-symbol>C25D15/00</classification-symbol><class-title date-revised="2015-10-01"><title-part><text>Electrolytic or electrophoretic production of coatings containing embedded materials, e.g. particles, whiskers, wires</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D15/02" definition-exists="true" ipc-concordant="C25D15/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D15/02</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Combined electrolytic and electrophoretic processes </text><CPC-specific-text><text>with charged materials</text></CPC-specific-text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="7" additional-only="false" sort-key="C25D17/00" definition-exists="true" ipc-concordant="C25D17/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D17/00</classification-symbol><class-title date-revised="2020-08-01"><title-part><text>Constructional parts, or assemblies thereof, of cells for electrolytic coating</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D17/001" definition-exists="true" ipc-concordant="C25D17/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D17/001</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D17/002" definition-exists="true" ipc-concordant="C25D17/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/002</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Cell separation, e.g. membranes, diaphragms</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D17/004" definition-exists="false" ipc-concordant="C25D17/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/004</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Sealing devices</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D17/005" definition-exists="true" ipc-concordant="C25D17/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/005</classification-symbol><class-title date-revised="2013-01-01"><title-part><CPC-specific-text><text>Contacting devices</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D17/007" definition-exists="true" ipc-concordant="C25D17/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D17/007</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Current directing devices</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D17/008" definition-exists="true" ipc-concordant="C25D17/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D17/008</classification-symbol><class-title date-revised="2020-08-01"><title-part><CPC-specific-text><text>Current shielding devices</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D17/02" definition-exists="true" ipc-concordant="C25D17/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/02</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Tanks</text></title-part><title-part><text>Installations therefor</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D17/04" definition-exists="false" ipc-concordant="C25D17/04" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/04</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>External supporting frames or structures</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D17/06" definition-exists="false" ipc-concordant="C25D17/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/06</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Suspending or supporting devices for articles to be coated</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D17/08" definition-exists="true" ipc-concordant="C25D17/08" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2016-08-01" status="published"><classification-symbol>C25D17/08</classification-symbol><class-title date-revised="2016-08-01"><title-part><CPC-specific-text><text>Supporting</text></CPC-specific-text><text> racks </text><CPC-specific-text><text>, i.e. not for suspending</text></CPC-specific-text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D17/10" definition-exists="true" ipc-concordant="C25D17/10" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2016-08-01" status="published"><classification-symbol>C25D17/10</classification-symbol><class-title date-revised="2016-08-01"><title-part><text>Electrodes </text><CPC-specific-text><text>, e.g. composition, counter electrode</text></CPC-specific-text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D17/12" definition-exists="false" ipc-concordant="C25D17/12" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/12</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Shape or form </text><reference><text><class-ref scheme="cpc">C25D17/14</class-ref> takes precedence</text></reference></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D17/14" definition-exists="false" ipc-concordant="C25D17/14" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/14</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>for pad-plating</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D17/16" definition-exists="false" ipc-concordant="C25D17/16" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/16</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Apparatus for electrolytic coating of small objects in bulk</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D17/18" definition-exists="false" ipc-concordant="C25D17/18" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/18</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>having closed containers</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D17/20" definition-exists="false" ipc-concordant="C25D17/20" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/20</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Horizontal barrels</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D17/22" definition-exists="false" ipc-concordant="C25D17/22" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/22</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>having open containers</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D17/24" definition-exists="false" ipc-concordant="C25D17/24" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/24</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Oblique barrels</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="10" additional-only="false" sort-key="C25D17/26" definition-exists="false" ipc-concordant="C25D17/26" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/26</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Oscillating baskets</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D17/28" definition-exists="false" ipc-concordant="C25D17/28" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D17/28</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>with means for moving the objects individually through the apparatus during treatment</text></title-part></class-title></classification-item></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="7" additional-only="false" sort-key="C25D21/00" definition-exists="true" ipc-concordant="C25D21/00" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D21/00</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Processes for servicing or operating cells for electrolytic coating</text></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D21/02" definition-exists="false" ipc-concordant="C25D21/02" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D21/02</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Heating or cooling</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D21/04" definition-exists="true" ipc-concordant="C25D21/04" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D21/04</classification-symbol><class-title date-revised="2020-08-01"><title-part><text>Removal of gases or vapours </text><CPC-specific-text><text>; Gas or pressure control</text></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D21/06" definition-exists="true" ipc-concordant="C25D21/06" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D21/06</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Filtering </text><CPC-specific-text><text>particles other than ions </text><reference><text>filtering ions <class-ref scheme="cpc">C25D21/22</class-ref></text></reference></CPC-specific-text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D21/08" definition-exists="false" ipc-concordant="C25D21/08" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D21/08</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Rinsing</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D21/10" definition-exists="true" ipc-concordant="C25D21/10" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D21/10</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Agitating of electrolytes</text></title-part><title-part><text>Moving of racks</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D21/11" definition-exists="false" ipc-concordant="C25D21/11" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D21/11</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Use of protective surface layers on electrolytic baths</text></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D21/12" definition-exists="true" ipc-concordant="C25D21/12" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D21/12</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Process control or regulation </text><reference><text>controlling or regulating in general <class-ref scheme="cpc">G05</class-ref></text></reference></title-part></class-title>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D21/14" definition-exists="true" ipc-concordant="C25D21/14" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D21/14</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>Controlled addition of electrolyte components</text></title-part></class-title></classification-item></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="8" additional-only="false" sort-key="C25D21/16" definition-exists="true" ipc-concordant="C25D21/16" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2020-08-01" status="published"><classification-symbol>C25D21/16</classification-symbol><class-title date-revised="2020-08-01"><title-part><text>Regeneration of process solutions</text></title-part></class-title><notes-and-warnings><note type="warning"><note-paragraph warning-type="reclass-destination">Groups <class-ref scheme="cpc">C25D21/16</class-ref> - <class-ref scheme="cpc">C25D21/22</class-ref> are incomplete pending reclassification of documents from group <class-ref scheme="cpc">C25D13/24</class-ref>.<br/>All groups listed in this Warning should be considered in order to perform a complete search.</note-paragraph></note></notes-and-warnings>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D21/18" definition-exists="false" ipc-concordant="C25D21/18" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D21/18</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of electrolytes </text><reference><text><class-ref scheme="cpc">C25D21/22</class-ref> takes precedence</text></reference></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D21/20" definition-exists="false" ipc-concordant="C25D21/20" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D21/20</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>of rinse-solutions </text><reference><text><class-ref scheme="cpc">C25D21/22</class-ref> takes precedence</text></reference></title-part></class-title></classification-item>
<classification-item breakdown-code="false" not-allocatable="false" level="9" additional-only="false" sort-key="C25D21/22" definition-exists="true" ipc-concordant="C25D21/22" c-set-base-allowed="false" c-set-subsequent-allowed="true" date-revised="2013-01-01" status="published"><classification-symbol>C25D21/22</classification-symbol><class-title date-revised="2013-01-01"><title-part><text>by ion-exchange</text></title-part></class-title></classification-item></classification-item></classification-item></classification-item></classification-item></class-scheme>