G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICESMATERIALS THEREFORORIGINALS THEREFORAPPARATUS SPECIALLY ADAPTED THEREFOR; phototypographic composing devices B41B; photosensitive materials or processes for photographic purposes G03C; electrophotography, sensitive layers or processes therefor G03G In this subclass, the following terms or expressions are used with the meanings indicated : "photosensitive" means not only sensitive to electro- magnetic radiation but also to corpuscular radiation; "photosensitive compositions" covers photosensitive substances, e.g. quinonediazides, and, if applicable, binders or additives; "photosensitive materials" covers the photosensitive compositions, e.g. photoresists, the bases carrying them and, if applicable, auxiliary layers. The following IPC groups are not in the CPC scheme. The subject matter for these IPC groups is classified in the following CPC groups: G03F3/08 covered by H04N1/46G03F7/207 covered by G03F7/20G03F7/23 covered by G03F7/22G03F9/02 covered by G03F9/00
In this subclass non-limiting references (in the sense of paragraph 39 of the Guide to the IPC) may still be displayed in the scheme.
G03F1/00 G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticlesMask blanks or pellicles thereforContainers specially adapted thereforPreparation thereof
In this group, the first place priority rule is applied, i.e. at each hierarchical level, in the absence of an indication to the contrary, classification is made in the first appropriate place.
G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beamPreparation thereof G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultra-violet [EUV] masksPreparation thereof G03F1/24Reflection masksPreparation thereof G03F1/26Phase shift masks [PSM]PSM blanksPreparation thereof G03F1/28with three or more diverse phases on the same PSMPreparation thereof G03F1/29Rim PSM or outrigger PSMPreparation thereof G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSMPreparation thereof G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion,Preparation thereof G03F1/34Phase-edge PSM, e.g. chromeless PSMPreparation thereof G03F1/36Masks having proximity correction featuresPreparation thereof, e.g. optical proximity correction [OPC] design processes G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testingPreparation thereof G03F1/40Electrostatic discharge [ESD] related features, e.g. antistatic coatings or a conductive metal layer around the periphery of the mask substrate G03F1/42Alignment or registration features, e.g. alignment marks on the mask substrates G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales G03F1/46Antireflective coatings G03F1/48Protective coatings G03F1/50Mask blanks not covered by G03F1/20 - G03F1/34Preparation thereof G03F1/52Reflectors G03F1/54Absorbers, e.g. of opaque materials G03F1/56Organic absorbers, e.g. of photo-resists G03F1/58having two or more different absorber layers, e.g. stacked multilayer absorbers G03F1/60Substrates G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support framePreparation thereof G03F1/64characterised by the frames, e.g. structure or material, including bonding means therefor G03F1/66Containers specially adapted for masks, mask blanks or pelliclesPreparation thereof G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50 G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging G03F1/72Repair or correction of mask defects G03F1/74by charged particle beam [CPB], e.g. focused ion beam G03F1/76Patterning of masks by imaging G03F1/78by charged particle beam [CPB], e.g. electron beam patterning of masks G03F1/80Etching G03F1/82Auxiliary processes, e.g. cleaning or inspecting G03F1/84Inspecting G03F1/86by charged particle beam [CPB] G03F1/88prepared by photographic processes for production of originals simulating relief G03F1/90prepared by montage processes G03F1/92prepared from printing surfaces
G03F3/00Colour separationCorrection of tonal value photographic copying apparatus in general G03B G03F3/02by retouching G03F3/04by photographic means G03F3/06by masking G03F3/10Checking the colour or tonal value of separation negatives or positives G03F3/101Colour or tonal value checking by non-photographic means or by means other than using non-impact printing methods or duplicating or marking methods covered by B41M5/00 G03F3/102Lamination or delamination method or apparatus for colour proofing systems G03F3/103using tonable photoresist or photopolymerisable systems G03F3/105using electro photographic materials G03F3/106using non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, other than silicon containing compounds G03F3/107using silver halide photosensitive materials G03F3/108using a non-impact printing method, e.g. ink jet, using duplicating or marking methods covered by B41M5/00, e.g. by ablation or by thermographic means G03F5/00Screening processesScreens therefor plates or light sensitive layers with incorporated screen G03F7/004 G03F5/02by projection methods cameras G03B G03F5/04changing the screen effect G03F5/06changing the diaphragm effect G03F5/08using line screens G03F5/10using cross-line screens G03F5/12using other screens, e.g. granulated screen G03F5/14by contact methods G03F5/16using grey half-tone screens G03F5/18using colour half-tone screens G03F5/20using screens for gravure printing G03F5/22combining several screensElimination of moiré G03F5/24by multiple exposure, e.g. combined processes for line photo and screen G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor using photoresist structures for special production processes, see the relevant places, e.g. B44C, H01L, e.g. H01L21/00, H05K G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor G03F7/0007Filters, e.g. additive colour filters; Components for display devices G03F7/001Phase modulating patterns, e.g. refractive index patterns G03F7/0012Processes making use of the tackiness of the photolithographic materials, e.g. for mounting; Packaging for photolithographic material; Packages obtained by processing photolithographic materials G03F7/0015Production of aperture devices, microporous systems or stamps G03F7/0017for the production of embossing, cutting or similar devices; for the production of casting means G03F7/002using materials containing microcapsules; Preparing or processing such materials, e.g. by pressure; Devices or apparatus specially designed therefor G03F7/0022Devices or apparatus G03F7/0025characterised by means for coating the developer G03F7/0027characterised by pressure means G03F7/003characterised by storage means for the light sensitive material, e.g. cartridges G03F7/0032characterised by heat providing or glossing means G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface G03F7/0037Production of three-dimensional images G03F7/004Photosensitive materials G03F7/12, G03F7/14 take precedence G03F7/0041providing an etching agent upon exposure G03F7/075 takes precedence; photolytic halogen compounds G03F7/0295 G03F7/0042with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists G03F7/075 takes precedence G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof G03F7/0044 takes precedence G03F7/0044involving an interaction between the metallic and non-metallic component, e.g. photodope systems G03F7/0045with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors G03F7/0046with perfluoro compounds, e.g. for dry lithography G03F7/0048 takes precedence G03F7/0047characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing G03F7/0048characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents G03F7/008Azides G03F7/075 takes precedence G03F7/0085characterised by the non-macromolecular additives G03F7/012Macromolecular azidesMacromolecular additives, e.g. binders G03F7/0085 takes precedence G03F7/0125characterised by the polymeric binder or the macromolecular additives other than the macromolecular azides G03F7/016Diazonium salts or compounds G03F7/075 takes precedence G03F7/0163Non ionic diazonium compounds, e.g. diazosulphonates; Precursors thereof, e.g. triazenes G03F7/0166characterised by the non-macromolecular additives G03F7/021Macromolecular diazonium compoundsMacromolecular additives, e.g. binders G03F7/0166 takes precedence G03F7/0212characterised by the polymeric binder or the macromolecular additives other than the diazo resins or the polymeric diazonium compounds G03F7/0215Natural gums; Proteins, e.g. gelatins; Macromolecular carbohydrates, e.g. cellulose; Polyvinyl alcohol and derivatives thereof, e.g. polyvinylacetals G03F7/0217Polyurethanes; Epoxy resins G03F7/022Quinonediazides G03F7/075 takes precedence G03F7/0223Iminoquinonediazides; Para-quinonediazides G03F7/0226characterised by the non-macromolecular additives G03F7/023Macromolecular quinonediazidesMacromolecular additives, e.g. binders G03F7/0226 takes precedence G03F7/0233characterised by the polymeric binders or the macromolecular additives other than the macromolecular quinonediazides G03F7/0236Condensation products of carbonyl compounds and phenolic compounds, e.g. novolak resins G03F7/025Non-macromolecular photopolymerisable compounds having carbon-to-carbon triple bonds, e.g. acetylenic compounds G03F7/075 takes precedence G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds G03F7/075 takes precedence G03F7/0275with dithiol or polysulfide compounds G03F7/028with photosensitivity-increasing substances, e.g. photoinitiators G03F7/0285Silver salts, e.g. a latent silver salt image G03F7/029Inorganic compoundsOnium compoundsOrganic compounds having hetero atoms other than oxygen, nitrogen or sulfur G03F7/0295Photolytic halogen compounds G03F7/031Organic compounds not covered by group G03F7/029 G03F7/032with binders G03F7/0325the binders being polysaccharides, e.g. cellulose G03F7/033the binders being polymers obtained by reactions only involving carbon-to-carbon unsaturated bonds, e.g. vinyl polymers G03F7/035the binders being polyurethanes G03F7/037the binders being polyamides or polyimides G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable G03F7/075 takes precedence; macromolecular azides G03F7/012; macromolecular diazonium compounds G03F7/021 G03F7/0381using a combination of a phenolic resin and a polyoxyethylene resin G03F7/0382the macromolecular compound being present in a chemically amplified negative photoresist composition G03F7/0384with ethylenic or acetylenic bands in the main chain of the photopolymer G03F7/0385using epoxidised novolak resin G03F7/0387Polyamides or polyimides G03F7/0388with ethylenic or acetylenic bands in the side chains of the photopolymer G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists G03F7/075 takes precedence; macromolecular quinonediazides G03F7/023 G03F7/0392the macromolecular compound being present in a chemically amplified positive photoresist composition G03F7/0395the macromolecular compound having a backbone with alicyclic moieties G03F7/0397the macromolecular compound having an alicyclic moiety in a side chain G03F7/04Chromates G03F7/075 takes precedence G03F7/06Silver salts G03F7/075 takes precedence G03F7/063Additives or means to improve the lithographic properties; Processing solutions characterised by such additives; Treatment after development or transfer, e.g. finishing, washing; Correction or deletion fluids G03F7/066Organic derivatives of bivalent sulfur, e.g. onium derivatives G03F7/07used for diffusion transfer G03F7/063 takes precedence G03F7/075Silicon-containing compounds G03F7/0751used as adhesion-promoting additives or as means to improve adhesion G03F7/0752in non photosensitive layers or as additives, e.g. for dry lithography G03F7/0754Non-macromolecular compounds containing silicon-to-silicon bonds G03F7/0752 takes precedence G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds G03F7/0752 takes precedence G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds G03F7/0752 takes precedence G03F7/0758with silicon- containing groups in the side chains G03F7/085Photosensitive compositions characterised by adhesion-promoting non-macromolecular additives G03F7/075 takes precedence G03F7/09characterised by structural details, e.g. supports, auxiliary layers supports for printing plates in general B41N G03F7/091characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement G03F7/092characterised by backside coating or layers, by lubricating-slip layers or means, by oxygen barrier layers or by stripping-release layers or means G03F7/093characterised by antistatic means, e.g. for charge depletion G03F7/094Multilayer resist systems, e.g. planarising layers G03F7/095having more than one photosensitive layer G03F7/075 takes precedence G03F7/0952comprising silver halide or silver salt based image forming systems, e.g. for camera speed exposure G03F7/0955one of the photosensitive systems comprising a non-macromolecular photopolymerisable compound having carbon-to-carbon double bonds, e.g. ethylenic compounds G03F7/0957with sensitive layers on both sides of the substrate G03F7/105having substances, e.g. indicators, for forming visible images G03F7/11having cover layers or intermediate layers, e.g. subbing layers G03F7/091 - G03F7/093, B41N3/03 take precedence G03F7/115having supports or layers with means for obtaining a screen effect or for obtaining better contact in vacuum printing G03F7/12Production of screen printing forms or similar printing forms, e.g. stencils G03F7/14Production of collotype printing forms G03F7/16Coating processesApparatus therefor applying coatings to base materials in general B05; applying photosensitive compositions to base for photographic purposes G03C1/74 G03F7/161using a previously coated surface, e.g. by stamping or by transfer lamination G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner G03F7/164using electric, electrostatic or magnetic means; powder coating G03F7/165Monolayers, e.g. Langmuir-Blodgett G03F7/167from the gas phase, by plasma deposition G03F7/2035 takes precedence G03F7/168Finishing the coated layer, e.g. drying, baking, soaking G03F7/18Coating curved surfaces G03F7/20ExposureApparatus therefor photographic printing apparatus for making copies G03B27/00 G03F7/2002with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image G03F7/2004characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light G03F7/2006using coherent light; using polarised light G03F7/2008characterised by the reflectors, diffusers, light or heat filtering means or anti-reflective means used G03F7/201characterised by an oblique exposure; characterised by the use of plural sources; characterised by the rotation of the optical device; characterised by a relative movement of the optical device, the light source, the sensitive system or the mask G03F7/2012using liquid photohardening compositions, e.g. for the production of reliefs such as flexographic plates or stamps G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame G03F7/2016Contact mask being integral part of the photosensitive element and subject to destructive removal during post-exposure processing G03F7/2018Masking pattern obtained by selective application of an ink or a toner, e.g. ink jet printing G03F7/202Masking pattern being obtained by thermal means, e.g. laser ablation G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure G03F7/2024of the already developed image G03F7/2026for the removal of unwanted material, e.g. image or background correction G03F7/2028of an edge bead on wafers G03F7/203comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation G03F7/2032Simultaneous exposure of the front side and the backside G03F7/2035simultaneous coating and exposure; using a belt mask, e.g. endless G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation G03F7/2039X-ray radiation G03F7/2041in the presence of a fluid, e.g. immersion; using fluid cooling means G03F7/2043with the production of a chemical active agent from a fluid, e.g. an etching agent; with meterial deposition from the fluid phase, e.g. contamination resists G03F7/2045using originals with apertures, e.g. stencil exposure masks G03F7/2047Exposure with radiation other than visible light or UV light, e.g. shadow printing, proximity printing G03F7/2049using a cantilever G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source G03F7/70 takes precedence G03F7/2053using a laser ablative removal B41C G03F7/2055for the production of printing plates; Exposure of liquid photohardening compositions G03F7/2057using an addressed light valve, e.g. a liquid crystal device G03F7/2059using a scanning corpuscular radiation beam, e.g. an electron beam G03F7/2061Electron scattering (proximity) correction or prevention methods G03F7/2063for the production of exposure masks or reticles G03F7/2065using corpuscular radiation other than electron beams G03F2007/2067Apparatus for microlithography G03F7/213Exposing with the same light pattern different positions of the same surface at the same time G03F7/70 takes precedence G03F7/22Exposing sequentially with the same light pattern different positions of the same surface G03F7/70 takes precedence G03F7/24Curved surfaces G03F7/70 takes precedence G03F7/26Processing photosensitive materialsApparatus therefor G03F7/12 - G03F7/24 take precedence G03F7/265Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation G03F7/28for obtaining powder images G03F3/10 takes precedence G03F7/30Imagewise removal using liquid means G03F7/3007combined with electrical means, e.g. force fields G03F7/3014combined with ultrasonic means G03F7/3021from a wafer supported on a rotating chuck G03F7/3028characterised by means for on-wafer monitoring of the processing G03F7/3035from printing plates fixed on a cylinder or on a curved surface; from printing cylinders G03F7/3042from printing plates transported horizontally through the processing stations G03F7/305characterised by the brushing or rubbing means G03F7/3057characterised by the processing units other than the developing unit, e.g. washing units G03F7/3064characterised by the transport means or means for confining the different units, e.g. to avoid the overflow G03F7/3071Process control means, e.g. for replenishing G03F7/3078Processing different kinds of plates, e.g. negative and positive plates, in the same machine G03F7/3085from plates or webs transported vertically; from plates suspended or immersed vertically in the processing unit G03F7/3092Recovery of material; Waste processing G03F7/32Liquid compositions therefor, e.g. developers G03F7/322Aqueous alkaline compositions G03F7/325Non-aqueous compositions G03F7/327Non-aqueous alkaline compositions, e.g. anhydrous quaternary ammonium salts G03F7/34Imagewise removal by selective transfer, e.g. peeling away G03F7/343Lamination or delamination methods or apparatus for photolitographic photosensitive material G03F7/346using photosensitive materials other than non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma G03F7/38Treatment before imagewise removal, e.g. prebaking G03F7/265 takes precedence G03F7/40Treatment after imagewise removal, e.g. baking G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal G03F7/42Stripping or agents therefor Stripping involving the use of a combination of means, e.g. plasma and radiation, is classified in group G03F7/42 only G03F7/421using biological means only, e.g. enzymes G03F7/422using liquids only G03F7/421 takes precedence G03F7/423containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds G03F7/425containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen G03F7/426containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides G03F7/427using plasma means only G03F7/428using ultrasonic means only G03F7/70Exposure apparatus for microlithography G03F7/70008Production of exposure light, i.e. light sources G03F7/70016by discharge lamps discharge lamps per se H01J61/00 G03F7/70025by lasers lasers per se H01S3/00 G03F7/70033by plasma EUV sources plasma EUV sources per se H05G2/00 G03F7/70041by pulsed sources G03F7/7005by multiple sources addressable array sources specially adapted to produce patterns G03F7/70391 G03F7/70058Mask illumination systems G03F7/70066Size and form of the illuminated area in the mask plane, e.g. REMA G03F7/70075Homogenization of illumination intensity in the mask plane, by using an integrator, e.g. fly's eye lenses, facet mirrors, glass rods, by using a diffusive optical element or by beam deflection G03F7/70083Non-homogeneous intensity distribution in the mask plane G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane, angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole, quadrupole; Partial coherence control, i.e. sigma or numerical aperture [NA] G03F7/701Off-axis setting using an aperture G03F7/70108Off-axis setting using a light-guiding element G03F7/70116Off-axis setting using a programmable means, e.g. LCD or DMD G03F7/70125Use of illumination settings tailored to particular mask patterns details of setting means G03F7/70091 G03F7/70133Measurement of illumination distribution, in pupil plane or field plane G03F7/70141Illumination system adjustment, alignment during assembly of illumination system alignment of mask with workpiece G03F9/70 G03F7/7015Details of optical elements G03F7/70158Diffractive optical elements G03F7/70166Capillary or channel elements, e.g. nested EUV mirrors G03F7/70175Lamphouse reflector arrangements, i.e. collecting light from solid angle upstream of the light source G03F7/70183Zoom systems G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarization, phase or the like Wavelength or polarisation control is further classified in groups G03F7/70566, G03F7/70575 G03F7/702Reflective illumination, i.e. reflective optical elements other than folding mirrors G03F7/70208Multiple illumination paths, e.g. radiation distribution device, multiplexer, demultiplexer for single or multiple projection systems G03F7/70216Systems for imaging mask onto workpiece G03F7/70225Catadioptric systems, i.e. documents describing optical design aspect details
Catadioptric systems are further classified in group G02B17/0892
G03F7/70233Optical aspects of catoptric systems
Further aspects of catoptric systems are classified in group G02B17/06
G03F7/70241Optical aspects of refractive systems Further aspects of refractive systems are classified in group G02B13/143 G03F7/7025Size or form of projection system aperture G03F7/70258Projection system adjustment, alignment during assembly of projection system alignment of mask with workpiece G03F9/70 G03F7/70266Adaptive optics, e.g. deformable optical elements for wavefront control G03F7/70275Multiple projection paths, array of projection systems, microlens projection systems, tandem projection systems G03F7/70283Masks or their effects on the imaging process, e.g. Fourier masks, greyscale masks, holographic masks, phase shift masks, phasemasks, lenticular masks, multiple masks, tilted masks, tandem masks masks per se G03F1/00 G03F7/70291Addressable masks G03F7/703Non-planar pattern area or non-planar masks G03F7/70308Optical correction elements, filters and phase plates for manipulating, e.g. intensity, wavelength, polarization, phase, image shift filters per se G02B5/20
Wavelength or polarisation control is further classified in groups G03F7/70566, G03F7/70575
G03F7/70316Details of optical elements, e.g. of Bragg reflectors or diffractive optical elements Particular optical materials are further classified in group G03F7/70958; Multilayer reflectors for X-ray or EUV lithography are further classified in group G21K1/062 G03F7/70325Resolution enhancement techniques not otherwise provided for, e.g. darkfield imaging, interfering beams, spatial frequency multiplication, nearfield lens G03F7/70333Focus drilling, e.g. FLEX G03F7/70341Immersion chemical composition of immersion liquids G03F7/2041 G03F7/7035Proximity or contact printer G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging G03F7/70366Rotary scanning
G03F7/70375Imaging systems not otherwise provided for, e.g. multiphoton lithography; Imaging systems comprising means for converting one type of radiation into another type of radiation, systems comprising mask with photo-cathode G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams "maskless" lithography using a programmable mask G03F7/70291 G03F7/70391Addressable array sources specially adapted to produce patterns, e.g. addressable LED arrays array sources for exposure apparatus comprising a mask G03F7/7005; illumination setting using programmable means in exposure apparatus comprising a mask G03F7/70116 G03F7/704Scanned exposure beam, e.g. raster-, rotary- and vector scanning mask projection exposure involving relative movement of patterned beam and workpiece during imaging G03F7/70358 G03F7/70408Interferometric lithography; Holographic lithography; Self-imaging lithography G03F7/70416Stereolithography, 3D printing, rapid prototypingApparatus for photolithographical production of three dimensional images are further classified in group G03F7/0037 and group B29C64/00 G03F7/70425Imaging strategies, e.g. for increasing throughput, printing product fields larger than the image field, compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching, double patterning G03F7/70433Layout for increasing efficiency, for compensating imaging errors, e.g. layout of exposure fields,; Use of mask features for increasing efficiency, for compensating imaging errors circuit design per se G06F30/30; designing or making of mask G03F1/00 G03F7/70441Optical proximity correction G03F7/7045Hybrid exposure, i.e. combining different types of exposure, e.g. projection, proximity, direct write, interferometric, uv, x-ray, particle beam constructional details G03F7/70991 G03F7/70458Mix-and-match, i.e. multiple exposures of the same area using similar types of exposure, e.g. UV exposure G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning, multiple exposures for printing a single feature, mix-and-match stitching G03F7/70475 G03F7/70475Stitching, i.e. connecting image fields to produce a device field, the field occupied by a device such as a memory chip, processor chip, CCD, flat panel display G03F7/70483Information management, control, testing, and wafer monitoring, e.g. pattern monitoring detection arrangements G03F7/7085 G03F7/70491Information management and control, including software G03F7/705Modelling and simulation from physical phenomena up to complete wafer process or whole workflow in wafer fabrication G03F7/70508Data handling, in all parts of the microlithographic apparatus, e.g. addressable masks G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable mask, detectors G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control, prediction of failure G03F7/70533Controlling abnormal operating mode, e.g. taking account of waiting time, decision to rework, rework flow G03F7/70541Tagging, i.e. hardware or software tagging of features or components G03F7/7055Exposure light control, in all parts of the microlithographic apparatus, e.g. pulse length control, light interruption G03F7/70558Dose control, i.e. achievement of a desired dose determination of the required dose G03F7/70625 G03F7/70566Polarisation control G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength, matching of optical components to wavelength G03F7/70583Speckle reduction, e.g. coherence control, amplitude/wavefront splitting G03F7/70591Testing optical components testing of optical mirrors G01M11/005; testing of lenses G01M11/02; stray light transmission G03F7/70941 G03F7/706Aberration measurement aberration measurement in general G01M11/0242 G03F7/70608Wafer resist monitoring, e.g. measuring thickness, reflectivity, effects of immersion liquid on resist G03F7/70616Wafer pattern monitoring, i.e. measuring printed patterns or the aerial image at the wafer plane optical metrology tools per se G01B11/02 and G01B9/04 G03F7/70625Pattern dimensions, e.g. line width, profile, sidewall angle, edge roughness G03F7/70633Overlay alignment between mask and wafer prior to exposure G03F9/70 G03F7/70641Focus focus measurement prior to exposure G03F9/7026 G03F7/7065Defect inspection defect inspection apparatus per se G06T7/0004, G01N21/956 G03F7/70658Electrical G03F7/70666using aerial image aerial image measurement tools for mask inspection G03F1/68 G03F7/70675using latent image G03F7/70683using process control mark, i.e. specific mark designs G03F7/70691Handling of masks or wafers G03F7/707Chucks, e.g. chucking or un-chucking operations chucks for workpiece processing tools H01L21/683 or H01L21/687 depending on the type of chucking G03F7/70708being electrostatic; Electrostatically deformable vacuum chucks electrostatic chucks for workpiece processing tools H01L21/6831 G03F7/70716Stages stages for workpiece processing tools H01L21/682 G03F7/70725control G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask G03F7/70741Handling masks outside exposure position, e.g. reticle libraries Protective means, e.g. containers, for masks, blanks or pellicles, are further classified in group G03F1/66 G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box G03F7/70758Drive means, e.g. actuator, motor lens or mirror actuators G03F7/70825 G03F7/70766Reaction force control means, e.g. countermass G03F7/70775Position control interferometers per se G01B9/02; encoders per se G01D5/00; alignment of mask with workpiece G03F9/70 G03F7/70783Stress or warp of chucks, mask or workpiece, e.g. to compensate for imaging error G03F7/70791Large workpieces, e.g. in the shape of web or polygon G03F7/708Construction of apparatus, e.g. environment, hygiene aspects or materials G03F7/70808Construction details, e.g. housing, load-lock, seals, windows for passing light in- and out of apparatus load-lock chambers for workpiece processors in general H01L21/67201 G03F7/70816Bearings fluid bearings per se F16C32/06 G03F7/70825Mounting of individual elements, e.g. mounts, holders or supports mounts or supports for projection- and illumination system and stages on base-plate or ground G03F7/70833; workpiece and mask holders G03F7/707 G03F7/70833Mounting of optical systems, e.g. mounting of illumination system, projection system or stage systems on base-plate or ground mounting of individual elements of said systems G03F7/70825 G03F7/70841Constructional issues related to vacuum environment G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature pollution aspects G03F7/70916 G03F7/70866of mask or workpiece G03F7/70875Temperature G03F7/70883of optical system G03F7/70891Temperature G03F7/709Vibration, e.g. vibration detection, compensation, suppression G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution, removing pollutants from apparatus; electromagnetic and electrostatic-charge pollution G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants G03F7/70933Purge G03F7/70941Stray fields and charges, e.g. stray light, scattered light, flare, transmission loss G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient G03F7/70958Optical materials and coatings, e.g. with particular transmittance, reflectance details of optical elements G03F7/70316 G03F7/70966Birefringence G03F7/70975Assembly, maintenance, transport and storage of apparatus G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus, shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate, utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids, vacuum apparatus for processing a workpiece in a plurality of work-stations including at least one lithography chamber H01L21/67225
G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically G03F7/22 takes precedence; preparation of photographic masks G03F1/00; within photographic printing apparatus for making copies G03B27/00 G03F2009/005for microlithography G03F9/70for microlithography measuring printed patterns for monitoring overlay G03F7/70633 or focus G03F7/70641; projection system adjustment G03F7/70258; position control G03F7/70775 G03F9/7003Alignment type or strategy, e.g. leveling, global alignment G03F9/7007Alignment other than original with workpiece G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder G03F9/7015Reference, i.e. alignment of original or workpiece with respect to a reference not on the original or workpiece G03F9/7019Calibration G03F9/7023Aligning or positioning in direction perpendicular to substrate surface G03F9/7026Focusing G03F9/703Gap setting, e.g. in proximity printer G03F9/7034Leveling G03F9/7038Alignment for proximity or contact printer proximity or contact printers per se G03F7/7035 G03F9/7042Alignment for lithographic apparatus using patterning methods other than those involving the exposure to radiation, e.g. by stamping or imprinting non-exposure lithographic processes per se G03F7/0002 G03F9/7046Strategy, e.g. mark, sensor or wavelength selection G03F9/7049Technique, e.g. interferometric G03F9/7053Non-optical, e.g. mechanical, capacitive, using an electron beam, acoustic or thermal waves G03F9/7057Gas flow, e.g. for focusing, leveling or gap setting G03F9/7061Scanning probe microscopy, e.g. AFM, scanning tunneling microscopy G03F9/7065Production of alignment light, e.g. light source, control of coherence, polarization, pulse length, wavelength G03F9/7069Alignment mark illumination, e.g. darkfield, dual focus G03F9/7073Alignment marks and their environment marks specific to masks G03F1/42; marks specific to molds or stamps G03F7/0002; overlay marks G03F7/70633; marks applied to semiconductor devices H01L23/544 G03F9/7076Mark details, e.g. phase grating mark, temporary mark G03F9/708Mark formation G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection G03F9/7092Signal processing G03F9/7096Arrangement, mounting, housing, environment, cleaning or maintenance of apparatus